简体   繁体   English

Verifone Model VX510与opencart网站的集成

[英]integration of Verifone Model VX510 with opencart website

I have a scenario where I have to connect a Verifone Model VX510 (credit card processing machine) with my opencart multistore website. 我有一种方案,必须将Verifone型号VX510(信用卡处理机)与我的opencart多家商店网站连接。 It will work like this: if someone buys from the website, the machine (that will be placed on physical location of the store) will print out the order. 它将像这样工作:如果有人从网站上购买,则机器(将放置在商店的实际位置)将打印出订单。 I know I will have to connect this machine with the website, but I am unaware if any API for Verifone VX510 exists that will let the website integrate with the machine for printing orders. 我知道我必须将这台机器与网站连接起来,但是我不知道是否存在用于Verifone VX510的API,该API可以使网站与机器集成以打印订单。 I have checked the machine user manual, but could not find any API or solution. 我已经检查了机器的用户手册,但是找不到任何API或解决方案。 Has anyone worked on this type of task or does anyone know how I should handle it? 有没有人从事过这类任务,或者有人知道我应该如何处理?

I realize this is an old question, but allow me to attempt an answer anyway... 我意识到这是一个老问题,但是无论如何请允许我尝试答案...

If this is an option, then I think the easiest way to do this would be to write a program that runs on the 510 and polls your "opencart multistore website" for orders it should print out. 如果这是一个选择,那么我认为最简单的方法是编写一个在510上运行的程序,并轮询您的“ opencart跨商店网站”以获取应打印的订单。 If you use the idle engine, you could set the slow_poll interval to be whatever time period you deem appropriate, and then when that event fires, just connect to the server and send your query. 如果使用空闲引擎,则可以将slow_poll间隔设置为您认为合适的任何时间段,然后在触发该事件时,只需连接到服务器并发送查询。

As for the specific API--I would recommend using the helper app CommServer, and then using flexi-records to communicate with CommServer. 至于特定的API,我建议使用助手应用程序CommServer,然后使用flexi-records与CommServer通信。 This would mean your APIs will mostly involve EESL_send_event and EESL_read_cust_evt , but of course you will need others (like vVarInitRecord and ushInitStandardFlexi among others). 这意味着您的API将主要涉及EESL_send_eventEESL_read_cust_evt ,但是您当然需要其他API(例如vVarInitRecordushInitStandardFlexi )。

Of course, your program that runs on the terminal could instead listen for a connection from the server, however unless you have control over the network in all the stores this will be deployed in, I would advise against that approach simply because many stores will not like accepting incoming traffic and may make the deployment process more difficult for you. 当然,您在终端上运行的程序可以改为侦听来自服务器的连接,但是,除非您控制将部署在所有商店中的网络,否则我建议不要这样做,因为许多商店不会例如接受传入流量,可能会使您的部署过程更加困难。

Another difficulty you could face (particularly with the latter option) is if the terminal hosts multiple applications (of which yours is only one). 您可能面临的另一个困难(尤其是后一种选择)是,如果终端托管多个应用程序(其中只有一个)。 In that case the network resources will not necessarily be available when you want/need them. 在这种情况下,当您需要/需要网络资源时,它们不一定会可用。 In the polling scenario, this would result in the data being printed out late. 在轮询方案中,这将导致数据打印较晚。 In the server-to-terminal communication, this could result in the terminal just never getting the message at all (depending on how you program it). 在服务器到终端的通信中,这可能导致终端根本从不接收消息(取决于您对消息的编程方式)。

I don't know if you have any experience developing for VeriFone terminals or not, but if you don't, then start by going to http://www.verifonedevnet.com . 我不知道您是否有任何开发VeriFone终端的经验,但是如果您没有,请首先访问http://www.verifonedevnet.com From here you will be able to find your regional contact who can guide you through the process of purchasing compiler licenses, downloading the VerixV SDK and other necessary steps. 在这里,您将可以找到您的区域联系人,该联系人可以指导您完成购买编译器许可证,下载VerixV SDK以及其他必要步骤的过程。 Be warned, though--VeriFone seldom does anything for free--you'll likely need to get your wallet out at some point. 但是请注意,VeriFone很少免费做任何事情,您可能需要在某个时候把钱包拿出来。

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM