简体   繁体   English

使用UVM ML和Specman和SV跟踪TLM端口连接

[英]trace TLM port connections using UVM ML wiith Specman and SV

I am using UVM-ML on Incisive, and cannot figure out why data is not passing between my SV collector and e scoreboard. 我在Incisive上使用UVM-ML,无法弄清楚为什么数据没有在SV收集器和电子记分板之间传递。 How can I see which TLM ports are connected, and in general debug ML environments? 在一般的ML调试环境中,如何查看已连接的TLM端口?

Uvm_ml_phase-运行连接Uvm_ml_print_tree Uvm_ml_print_connections

You can use uvm_ml_phase -run connect. 您可以使用uvm_ml_phase -run connect。 after this phase the ports are connected and you can view the uvm_ml tree and all the ports relations via design browser. 在此阶段之后,端口已连接,您可以通过设计浏览器查看uvm_ml树和所有端口关系。

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM