cost 191 ms
凿子队列模块测试结果不符合我的预期 - Chisel queue module test results don't match what i expected

我试图在 chisel3.util 中使用队列 class。 我用凿子测试仪测试了我的来源。 但是,终端上的结果与我预期的不匹配我的源代码如下所示。 而且,我的测试代码如下所示。 我认为,测试结果的最后一步应该是失败的。 因为,从就绪/有效握手协议来看,如果就绪信号为假,则不会出现No output ...

在 Chisel 中调试模块内部 - Debugging module internals in Chisel

我有一个用 Chisel 编写的复杂模块。 我正在使用 chiseltest 来验证其操作。 测试失败。 我希望能够检查模块的内部线路值以调试出了什么问题。 由于 PeekPokeTester 只允许我检查 io 信号的值,我该如何检查内部电线? 这是一个例子: 如何检查中间值“i”? ...


排序:
质量:
 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM