cost 378 ms
尝试在凿子中添加新黑盒时出现 TIMESCALEMOD 验证器错误 - TIMESCALEMOD verilator error when attempting to add a new black box in chisel

我正在尝试将一个新的黑盒 verilog 模块添加到chipyard硬件生成框架并使用verilator对其进行模拟。 我的更改通过了chipyard的scala编译阶段,其中凿子硬件规范被编译成verilog。 但是,在verilog被翻译成C++可执行文件的“验证”过程中,我遇到了一个错误: 环 ...

模块缺少时间刻度,因为其他模块有它 Verilator 错误 - Timescale missing on the module as other modules have it Verilator error

我正在尝试通过 MMIO 外围设备为火箭芯片框架添加加速器。 我浏览了 GCD 示例并能够构建基本的 GCD 代码。 然后,我将 GCD 替换为具有自己的配置、参数和字段信息的加速器。 现在,当我尝试将此信息传递给火箭芯片时,名称与freechips.rocketchip.config.{Param ...

如何使用 Verilator 跟踪特定信号? - How to trace specific signals using Verilator?

我有一个系统,我想使用 Verilator 进行跟踪,但是使用 VCD 跟踪文件对资源要求很高(数百 GB)并且非常耗时。 我把trace文件类型改成了FST,文件大小还算合理,但是还是比较费时间。 跟踪整个系统不是我目前的目标,因为我只想要 8 个信号的值,而不是系统的 16000 个信号。 如 ...

Verilog:仿真出错但在 FPGA 上运行良好 - Verilog: simulation gives errors but runs fine on FPGA

我正在尝试熟悉 verilog 中的(子)模块,但遇到了我无法解释的错误。 我有这两个文件: 顶部.v : 序列号.v : 要将它们上传到我的 ECP5,我使用以下命令流: 它上传并正常工作(有一个 LED 连接到 txd,它根据 serialtx.v 中的initial o_txd = 1'bx语 ...

SystemVerilog Dataflow Modeling Ripple-Adder 与数组实例 - SystemVerilog Dataflow Modeling Ripple-Adder with array instances

我已经使用生成实现了一个(工作的)波纹进位加法器,以创建 16 个不同的full_adder实例( full_adder按预期工作): 现在我正在尝试修改加法器,以便我可以保留一组加法器。 下面是我试图开始工作的代码示例: 但是,我从 Verilator 收到了一个 ASSIGNIN 错误(文档显示 ...

为什么在头文件中定义为静态的 c++ 方法未显示在符号表中 - Why is a c++ method which is defined static in a header file not showing up in a symbol table

我正在尝试编译和链接 Verilator (veripool.org) 的运行时支持代码。 它构建得很好,但由于某种原因,有几个方法没有出现在相关的目标文件中, Verilated::timeunit(int)和Verilated::timeprecision(int) 。 这些表面上是在 inc ...

寻找关于仅用与非门基元构建玩具“和”门如何导致 verilog 中未定义行为的解释 - looking for an explanation on how building a toy `and` gate out of only nand gate primitives can lead to undefined behavior in verilog

我正在学习臭名昭著的 nand2tetris 课程,并决定仅使用我在此基础上构建的原始nand门和模块在 verilog 中构建计算机。 我为每个模块编写了大量测试,在我运行整台机器之前,所有测试都运行良好。 我得到了奇怪的未定义行为,因为位只是以意想不到的方式关闭。 几个小时的调试后,我跟踪这个 ...

Verilog - 比特流在硬件上工作,但模拟无法编译 - Verilog - bitstream works on hardware but simulation doesn't compile

我正在使用 Verilog 设置 FPGA,使其每秒闪烁一次 LED。 这是一种方法: 现在我写了这个 makefile: 前两个 makefile 目标( all和flash )工作完美,当比特流文件上传到板上时,LED 以 1Hz 的频率闪烁。 好的。 但是,当我尝试simulate这个模块时, ...

多线程仿真比单线程慢几个数量级 - Multithreaded simulation orders of magnitude slower than single-threaded

我正在使用 Verilator 从一个非常简单的程序中模拟电路,该程序只是反复将时钟线设置为高电平,然后是低电平,直到满足某些 output 条件: 所以问题是,如果我在单线程模式下运行verilator (即我在没有--threads N标志的情况下运行 verilator,我不会在编译期间设置V ...

使用 CMake 内置 NDK 构建 Verilator (C++) - Building Verilator (C++) with CMake built-in NDK

我试过这个例子,但没有任何反应: 例如,如果我将CMAKE_ANDROID_ARCH_ABI更改为其他任何内容,则没有任何反应。 这就像 CMake 忽略了代码的 NDK 部分。 但是如果我将项目更改到另一个位置,则会发生不同的事情: 错误: 我没有使用 CMake 的经验,我认为问题 ...

如何知道 cocotb 测试台使用的是哪个模拟器? - How to know which simulator is used in cocotb testbench?

为了测试我的 Verilog 设计,我使用了两个不同的模拟器: Icarus和Verilator 。 这是有效的,但它们之间存在一些差异。 例如,我无法使用 verilator 读取模块参数,但 Icarus 可以。 有没有办法知道 python 测试文件中使用的是哪个模拟器? 我想写这样的东西: ...

使用 Verilator 和 VPI 读取 regs 数组 - Reading array of regs using Verilator and VPI

所以我在我的 verilog 中定义了以下寄存器 我的目标是从我的 verilator c++ 代码中读取存储在其中的 16 个值中的每一个。 我发现这个 VPI 东西的文档很难找到。 我仍然无法弄清楚t_vpi_vecval是什么以及它的参数是什么,或者它是否是正确的方法。 这是我读取寄存 ...


 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM