繁体   English   中英

凿子3中的动态测试线束

[英]Dynamic test harness in chisel 3

我们正在Chisel中实现处理器,并且有许多非常棘手的测试用例。 对于状态很多的元素,我们要动态生成较长的测试向量序列,并动态计算正确的响应。 在Chisel 3中,看来做到这一点的唯一方法是使用Java内省法对其进行破解,将Scala代码连接到C ++测试工具,并在C ++代码中生成激励向量和正确的响应。

不太干净。 有什么方法可以保留在Scala框架中并用Scala代码编写动态测试吗? 到目前为止,问题一直是将Scala连接到Verilator生成的C ++。 是否有一种干净的方法可以使Verilator生成的C ++模拟器与Scala / Chisel测试工具代码进行交互,从而生成激励向量并即时测试响应?

谢谢

查看Chisel Testers https://github.com/ucb-bar/chisel-testers 他们提供了一个Verilator后端,它将从Scala为您驱动Verilator C ++模拟器。 凿子教程( https://github.com/ucb-bar/chisel-tutorial )使用凿子测试器,因此可以提供一些简单的示例。

特别地, AdvTester是凿子测试器中最强大的方法。 您可以在针对凿子测试者的测试中看到一些用途: https: //github.com/ucb-bar/chisel-testers/blob/master/src/test/scala/examples/AdvTesterSpec.scalahttps:// github .com / ucb-bar / chisel-testers / blob / master / src / test / scala / examples / DecoupledAdvTester.scala

暂无
暂无

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM