簡體   English   中英

為什么strtoul從“ 1”字符串返回0?

[英]Why is strtoul returning 0 from a “1” string?

我正在嘗試以一種無需GDAL庫即可輕松讀取的方式壓縮光柵文件(我的Web服務器無法安裝GDAL)。 此問題之后 ,我正在執行以下操作以將柵格的字節(僅0和1值)轉換為位:

int main(int argc,char *argv[]) {
    if (argc < 3) {
        return 1;
    }
    GDALDataset *poDataset;
    GDALAllRegister();
    poDataset = (GDALDataset*)GDALOpen(argv[1],GA_ReadOnly);
    if (poDataset == NULL) {
        return 2;
    }
    int tx=poDataset->GetRasterXSize(), ty=poDataset->GetRasterYSize();
    GDALRasterBand *poBand;
    int nBlockXSize,nBlockYSize;
    poBand = poDataset->GetRasterBand(1);
    printf("Type: %s\n",GDALGetDataTypeName(poBand->GetRasterDataType()));
    // Type: Byte
    poBand->GetBlockSize(&nBlockXSize,&nBlockYSize);
    int i, nX = tx/nBlockXSize, nY = ty/nBlockYSize;
    char *data = (char*)CPLMalloc(nBlockXSize*nBlockYSize + 1);
    uint32_t out[nBlockXSize*nBlockYSize/32];
    char temp;
    CPLErr erro;
    FILE* pFile;
    pFile = fopen(argv[2],"wb");
    for (y=0; y<nY; y++) {
        for (x=0; x<nX; x++) {
            erro = poBand->ReadBlock(x,y,data);
            if (erro > 0) {
                return 3;
            }
            for (i=0; i<nBlockXSize*nBlockYSize; i+=32) {
                temp = data[i+32];
                data[i+32] = 0;
                out[i/32] = strtoul(&data[i],0,2);
                if (data[i] != 0) {
                    printf("%u/%u ",data[i],out[i/32]);
                }
                data[i+32] = temp;
            }
            ch = getchar(); // for debugging
        }
        fwrite(out,4,nBlockXSize*nBlockYSize/32,pFile);
    }
    fclose(pFile);
    CPLFree(data);
    return 0;
}

讀取第一組字節后( for (i=0; i<nBlockXSize*nBlockYSize; i+=32) ),我可以看到printf("%u/%u ",data[i],out[i/32]); 正在打印一些“ 1/0”,這意味着我的柵格中的值為1時,該值將傳遞給strtoul,返回0。顯然,我正在弄亂某些東西(可能是指針),但是找不到哪里。 我究竟做錯了什么?

strtoul用於將可打印字符數據轉換為整數。 該字符串應包含數字的字符代碼,例如'0''1'等。

顯然,在您的情況下,源數據實際上是整數值1 ,因此strtoul發現沒有預期形式的字符並返回0

暫無
暫無

聲明:本站的技術帖子網頁,遵循CC BY-SA 4.0協議,如果您需要轉載,請注明本站網址或者原文地址。任何問題請咨詢:yoyou2525@163.com.

 
粵ICP備18138465號  © 2020-2024 STACKOOM.COM