cost 163 ms
提供鑿子黑匣子的仿真模型

[英]Providing a simulation model for a chisel blackbox

我正在嘗試使用 chisel 3 模擬一個系統。該系統有一個帶有 verilog 的黑匣子。 verilog 代碼不是行為性的,它只是實例化合成器配置的模塊。我知道模塊的行為,想用鑿子編寫代碼來模擬行為。 所以基本上如何使用可用於模擬的行為來擴展 chisel 3 中的黑盒。 ...

為什么根據 ChiselTest 沒有正確設置這個本地變量

[英]Why is this local var not set correctly according to ChiselTest

我來自 Bluespec,不理解這種 Chisel 行為。 我有一個簡單的模塊: 我試圖破譯為什么我的測試人員表明 io.invalidOperation 在這個測試中被斷言。 輸出(注意 printf 指示有效操作) 如果我改為直接分配 io.invalidOperation(而不是本 ...

ChiselTest - 期望 UInt 中的位值

[英]ChiselTest - expect a bit value in a UInt

根據這個: https://github.com/chipsalliance/chisel3/issues/2439我決定盡可能將所有 Vec[Bool] 更改為 UInt。 Vec[Bool] 的一個特點是測試每一位的值很簡單。 例如,假設我有一個返回 UInt 的模塊。 我想測試一個特定的位是 ...

如何從 Seq[Bool] 創建 Vec 文字

[英]How to create a Vec literal from a Seq[Bool]

為了測試指定為向量的輸入/輸出,我需要能夠以編程方式為 poke 和 expect 創建所需的 Vec[Bool]。 Seq[Bool] 是一種方便的結構,可以直接創建(例如, Seq.tabulate(n) {i => (i%2==0).B} )或從 UInt(例如, 5.U(6.W).t ...

鑿子隊列模塊測試結果不符合我的預期

[英]Chisel queue module test results don't match what i expected

我試圖在 chisel3.util 中使用隊列 class。 我用鑿子測試儀測試了我的來源。 但是,終端上的結果與我預期的不匹配我的源代碼如下所示。 而且,我的測試代碼如下所示。 我認為,測試結果的最后一步應該是失敗的。 因為,從就緒/有效握手協議來看,如果就緒信號為假,則不會出現No output ...

在鑿子3中,如何用文本文件初始化memory測試代碼

[英]In chisel 3, how to initialize memory test code with text file

我想在鑿子 3 中初始化 memory 測試代碼。 我參考了這個網站的代碼( https://www.chisel-lang.org/chisel3/docs/appendix/experimental-features#loading-memories ) 此代碼在編譯為 verilog 時運行良 ...

方法<init> ()V 未在鑿子測試中找到</init>

[英]method <init>()V not found in chisel test

我用 Dma 模塊編寫了一個示例案例,它是esp-chisel-accelerators中的一個子模塊,但是當我運行sbt test或運行單個測試時,我收到一個錯誤: method &lt;init&gt;()V not found the code is : Dma 模塊是 我通過實例化一個 D ...

測試使用硬件構造的 Chisel object 函數

[英]Testing Chisel object functions that use hardware constructs

測試 Chisel function 不是生成硬件結構的模塊的一部分的正確方法是什么? 我如何測試這個 function? 因為它不是模塊,所以標准的 Chisel 測試格式會報錯。 ...

在 Chisel 中調試模塊內部

[英]Debugging module internals in Chisel

我有一個用 Chisel 編寫的復雜模塊。 我正在使用 chiseltest 來驗證其操作。 測試失敗。 我希望能夠檢查模塊的內部線路值以調試出了什么問題。 由於 PeekPokeTester 只允許我檢查 io 信號的值,我該如何檢查內部電線? 這是一個例子: 如何檢查中間值“i”? ...

為什么 Bool(true)?= chisel3 中的 Bool(true)?

[英]Why Bool(true) != Bool(true) in chisel3?

如果我在我的sbt 項目中運行一個 scala 控制台並像這樣導入 chisel3 package:$ sbt sbt:CIC&gt; console scala&gt; import chisel3._ import chisel3._ scala&gt; 然后,如果我聲明兩個 Bool ...


排序:
質量:
 
粵ICP備18138465號  © 2020-2024 STACKOOM.COM