cost 235 ms
Eclipse中的Sigasi

[英]Sigasi in Eclipse

我剛剛在Eclipse中安裝了Sigasi Studio插件(版本:Eclipse IDE 2018-12)。 當我嘗試啟動它以制作一個新的VHDL文件時,我得到以下信息: 無法啟動所選的向導。 org / eclipse / lsp4j / Range(出現在com.sigasi ...

正則表達式語法檢查前綴和后綴

[英]Regex syntax to check prefixes and suffixes

我正在構建一些正則表達式表達式以匹配Sigasi Studio(正則表達式使用Java語法)中的命名約定。 例如,端口名稱必須以_i或_o結尾-例如my_input_port_i 我嘗試使用txt2re發生器,然而的代替簡單的表達它生成的代碼 。 查看正則表達式語法,似乎“ $”字 ...

使用Sigasi編輯器的VHDL中的靈敏度列表不完整

[英]Incomplete sensitivity list in VHDL with Sigasi editor

當前,我嘗試發展我的VHDL技能,因此我使用Sigasi Eclipse插件來編寫一些VHDL代碼。 Sigasi是一個很棒的工具,但是有一件事讓我感到困擾。 不斷地,Sigasi會在過程定義中拋出有關靈敏度列表不完整的警告,從我的觀點來看,這是不合理的。 一個示例是具有相應體系結構的以下 ...

Sigasi Eclipse“ Codometer”一半隱藏

[英]Sigasi Eclipse “Codometer” half hidden

我正在使用Sigasi eclipse插件通過Eclipse編程和模擬VHDL。 但是,目前我只使用免費版本,該版本支持最大大小的項目。 通過左下角提供的“ codometer”小部件可以方便地指示此大小-但是,它的一半隱藏了,很多時候不可讀-參見圖片! 盡管使用了拖動條來移動它-我只 ...

在Eclipse(VHDL)中激活/使用ISim工具鏈

[英]Activating/Using ISim tool chain with Eclipse (VHDL)

我正在嘗試使用帶有教育許可證的Sigasi插件在Eclipse上對非常基本的VHDL進行編程-目的是,我可以為其編程一個簡單的實體和一個測試平台,然后在Xilinx的ISim中進行編譯和仿真。 我已經安裝了Eclipse,Sigasi與賽靈思ISE的WebPack,並試圖添加ISIM工具鏈 ...

在整個項目上運行Eclipse編輯器操作

[英]Run eclipse editor action on entire project

題: 有沒有一種方法可以在項目的每個文件上運行可從編輯器的上下文菜單中使用的Eclipse動作。 實際情況: 我必須與leon3一起工作,我的愚蠢頭腦無法充分理解代碼,因此我不想掃描行以查看其中是否隱藏了分號以查看是否存在多條指令,或者如果end if發生錯誤,在另一條指令的后 ...


排序:
質量:
 
粵ICP備18138465號  © 2020-2024 STACKOOM.COM