简体   繁体   English

在El Capitan上编译HaxeUI应用程序时出错

[英]Error compiling HaxeUI app on El Capitan

I'm trying to compile a HaxeUI app on Mac OS with the latest version El Capitan. 我正在尝试使用最新版本的El Capitan在Mac OS上编译HaxeUI应用。

The list of Haxe libraries installed, with versions: 已安装的Haxe库列表,以及版本:

$ haxelib list
actuate: [1.8.6]
box2d: [1.2.3]
format: [3.2.1]
haxeui-file-dialogs: [0.1.1]
haxeui-rich-text: [0.1.2]
haxeui: [1.7.20]
hscript: [2.0.5]
hxcpp: [3.2.193]
layout: [1.2.1]
lime-samples: [2.6.0]
lime: [2.7.0]
nme: [5.5.7]
openfl-samples: [3.3.1]
openfl: [3.4.0]
swf: [2.1.3]
yagp: [1.1.4]

While trying to compile with openfl build mac, I get the following errors and warnings: 尝试使用openfl build mac进行编译时,出现以下错误和警告:

./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp:161:15: error: allocating an object of abstract class type '::haxe::ui::toolkit::core::interfaces::IStyleableDisplayObject_delegate_<ItemRenderer_obj>'
        { return new ::haxe::ui::toolkit::core::interfaces::IStyleableDisplayObject_delegate_< ItemRenderer_obj >(this); }
                     ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:127:16: note: unimplemented pure virtual method 'addEventListener' in 'IStyleableDisplayObject_delegate_'
                virtual Void addEventListener( ::String type,Dynamic listener,hx::Null< bool >  useCapture,hx::Null< int >  priority,hx::Null< bool >  useWeakReference)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:128:17: note: unimplemented pure virtual method 'addEventListener_dyn' in 'IStyleableDisplayObject_delegate_'
virtual Dynamic addEventListener_dyn()=0;
                ^
include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:129:16: note: unimplemented pure virtual method 'dispatchEvent' in 'IStyleableDisplayObject_delegate_'
                virtual bool dispatchEvent( ::openfl::_legacy::events::Event event)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:130:17: note: unimplemented pure virtual method 'dispatchEvent_dyn' in 'IStyleableDisplayObject_delegate_'
virtual Dynamic dispatchEvent_dyn()=0;
                ^
include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:131:16: note: unimplemented pure virtual method 'hasEventListener' in 'IStyleableDisplayObject_delegate_'
                virtual bool hasEventListener( ::String type)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:132:17: note: unimplemented pure virtual method 'hasEventListener_dyn' in 'IStyleableDisplayObject_delegate_'
virtual Dynamic hasEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:133:16: note: unimplemented pure virtual method 'removeEventListener' in 'IStyleableDisplayObject_delegate_'
                virtual Void removeEventListener( ::String type,Dynamic listener,hx::Null< bool >  useCapture)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:134:17: note: unimplemented pure virtual method 'removeEventListener_dyn' in 'IStyleableDisplayObject_delegate_'
virtual Dynamic removeEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:135:16: note: unimplemented pure virtual method 'willTrigger' in 'IStyleableDisplayObject_delegate_'
                virtual bool willTrigger( ::String type)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:136:17: note: unimplemented pure virtual method 'willTrigger_dyn' in 'IStyleableDisplayObject_delegate_'
virtual Dynamic willTrigger_dyn()=0;
                ^

./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp:165:15: error: allocating an object of abstract class type '::haxe::ui::toolkit::core::interfaces::IComponent_delegate_<ItemRenderer_obj>'
        { return new ::haxe::ui::toolkit::core::interfaces::IComponent_delegate_< ItemRenderer_obj >(this); }
                     ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:127:16: note: unimplemented pure virtual method 'addEventListener' in 'IComponent_delegate_'
                virtual Void addEventListener( ::String type,Dynamic listener,hx::Null< bool >  useCapture,hx::Null< int >  priority,hx::Null< bool >  useWeakReference)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:128:17: note: unimplemented pure virtual method 'addEventListener_dyn' in 'IComponent_delegate_'
virtual Dynamic addEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:129:16: note: unimplemented pure virtual method 'dispatchEvent' in 'IComponent_delegate_'
                virtual bool dispatchEvent( ::openfl::_legacy::events::Event event)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:130:17: note: unimplemented pure virtual method 'dispatchEvent_dyn' in 'IComponent_delegate_'
virtual Dynamic dispatchEvent_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:131:16: note: unimplemented pure virtual method 'hasEventListener' in 'IComponent_delegate_'
                virtual bool hasEventListener( ::String type)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:132:17: note: unimplemented pure virtual method 'hasEventListener_dyn' in 'IComponent_delegate_'
virtual Dynamic hasEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:133:16: note: unimplemented pure virtual method 'removeEventListener' in 'IComponent_delegate_'
                virtual Void removeEventListener( ::String type,Dynamic listener,hx::Null< bool >  useCapture)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:134:17: note: unimplemented pure virtual method 'removeEventListener_dyn' in 'IComponent_delegate_'
virtual Dynamic removeEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:135:16: note: unimplemented pure virtual method 'willTrigger' in 'IComponent_delegate_'
                virtual bool willTrigger( ::String type)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:136:17: note: unimplemented pure virtual method 'willTrigger_dyn' in 'IComponent_delegate_'
virtual Dynamic willTrigger_dyn()=0;
                ^

./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp:171:15: error: allocating an object of abstract class type '::haxe::ui::toolkit::core::interfaces::IStateComponent_delegate_<ItemRenderer_obj>'
        { return new ::haxe::ui::toolkit::core::interfaces::IStateComponent_delegate_< ItemRenderer_obj >(this); }
                     ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:127:16: note: unimplemented pure virtual method 'addEventListener' in 'IStateComponent_delegate_'
                virtual Void addEventListener( ::String type,Dynamic listener,hx::Null< bool >  useCapture,hx::Null< int >  priority,hx::Null< bool >  useWeakReference)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:128:17: note: unimplemented pure virtual method 'addEventListener_dyn' in 'IStateComponent_delegate_'
virtual Dynamic addEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:129:16: note: unimplemented pure virtual method 'dispatchEvent' in 'IStateComponent_delegate_'
                virtual bool dispatchEvent( ::openfl::_legacy::events::Event event)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:130:17: note: unimplemented pure virtual method 'dispatchEvent_dyn' in 'IStateComponent_delegate_'
virtual Dynamic dispatchEvent_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:131:16: note: unimplemented pure virtual method 'hasEventListener' in 'IStateComponent_delegate_'
                virtual bool hasEventListener( ::String type)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:132:17: note: unimplemented pure virtual method 'hasEventListener_dyn' in 'IStateComponent_delegate_'
virtual Dynamic hasEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:133:16: note: unimplemented pure virtual method 'removeEventListener' in 'IStateComponent_delegate_'
                virtual Void removeEventListener( ::String type,Dynamic listener,hx::Null< bool >  useCapture)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:134:17: note: unimplemented pure virtual method 'removeEventListener_dyn' in 'IStateComponent_delegate_'
virtual Dynamic removeEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:135:16: note: unimplemented pure virtual method 'willTrigger' in 'IStateComponent_delegate_'
                virtual bool willTrigger( ::String type)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:136:17: note: unimplemented pure virtual method 'willTrigger_dyn' in 'IStateComponent_delegate_'
virtual Dynamic willTrigger_dyn()=0;
                ^

./src/haxe/ui/toolkit/core/renderers/ItemRenderer.cpp:173:15: error: allocating an object of abstract class type '::haxe::ui::toolkit::core::interfaces::IDisplayObjectContainer_delegate_<ItemRenderer_obj>'
        { return new ::haxe::ui::toolkit::core::interfaces::IDisplayObjectContainer_delegate_< ItemRenderer_obj >(this); }
                     ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:127:16: note: unimplemented pure virtual method 'addEventListener' in 'IDisplayObjectContainer_delegate_'
                virtual Void addEventListener( ::String type,Dynamic listener,hx::Null< bool >  useCapture,hx::Null< int >  priority,hx::Null< bool >  useWeakReference)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:128:17: note: unimplemented pure virtual method 'addEventListener_dyn' in 'IDisplayObjectContainer_delegate_'
virtual Dynamic addEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:129:16: note: unimplemented pure virtual method 'dispatchEvent' in 'IDisplayObjectContainer_delegate_'
                virtual bool dispatchEvent( ::openfl::_legacy::events::Event event)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:130:17: note: unimplemented pure virtual method 'dispatchEvent_dyn' in 'IDisplayObjectContainer_delegate_'
virtual Dynamic dispatchEvent_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:131:16: note: unimplemented pure virtual method 'hasEventListener' in 'IDisplayObjectContainer_delegate_'
                virtual bool hasEventListener( ::String type)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:132:17: note: unimplemented pure virtual method 'hasEventListener_dyn' in 'IDisplayObjectContainer_delegate_'
virtual Dynamic hasEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:133:16: note: unimplemented pure virtual method 'removeEventListener' in 'IDisplayObjectContainer_delegate_'
                virtual Void removeEventListener( ::String type,Dynamic listener,hx::Null< bool >  useCapture)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:134:17: note: unimplemented pure virtual method 'removeEventListener_dyn' in 'IDisplayObjectContainer_delegate_'
virtual Dynamic removeEventListener_dyn()=0;
                ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:135:16: note: unimplemented pure virtual method 'willTrigger' in 'IDisplayObjectContainer_delegate_'
                virtual bool willTrigger( ::String type)=0;
                             ^

include/haxe/ui/toolkit/core/interfaces/IDisplayObject.h:136:17: note: unimplemented pure virtual method 'willTrigger_dyn' in 'IDisplayObjectContainer_delegate_'
virtual Dynamic willTrigger_dyn()=0;
                ^

4 errors generated.
make: *** [build-haxe-i386] Error 1

** BUILD FAILED **


The following build commands failed:
    ExternalBuildToolExecution Build\ Haxe
(1 failure)

Can anybody help me? 有谁能够帮助我? How do I get rid of these problems? 我如何摆脱这些问题?

I have been using Haxe-UI for some time now, and from what I know you have to include haxelib install haxeui-core . 我已经使用Haxe-UI已有一段时间了,据我所知,您必须包括haxelib install haxeui-core That said, I'm on Linux. 就是说,我在Linux上。

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM