cost 199 ms
SystemVerilog: 数组部分 select +: 是否可以由 Vivado 合成变量开始? - SystemVerilog: is the array part select +: with variable start synthesizable by Vivado?

如果我有一个向量并且我想从某个地方开始读取它的一部分,我可以使用语法“vector[staring_point +: output_length]”,starting_point 是 integer 吗? 可以合成吗? 如果我写这样的代码: 设计就是这样,输入和 output 绑定到 GPIO。 ...

是否可以使用 simvision 工具在日志文件的覆盖点内显示特定 bin 的覆盖范围? - Is it possible to display coverage of a specific bin within a coverpoint in a logfile using the simvision tool?

我正在尝试显示coverpoint中特定 bin 的覆盖率(以百分比表示)。 我能够显示coverpoint coverpoint各个 bin 的覆盖百分比。 我想做以下 //$display("c1 coverpoint 中 bin a 的覆盖率:%d", $coverage(cov_a_ins ...

在 Verilog 中如何在计算机 memory 中管理“wire”数据类型? - In Verilog how "wire" data type is managed in computer memory?

很容易想象计算机如何设法在 memory 中存储 reg 和 int 变量。只需在 memory 中分别分配 1/32 位并以二进制形式存储初始化值。 当需要打印这些变量时,它们会根据格式说明符(%d、%b 等)转换回人类可读的形式。 **但是计算机如何设法在 memory 中存储有线数据类型? ...

2023-01-02 11:26:59   2   42    hdl / iverilog  
在 Verilog 中使用参数 - Utilization of parameters in Verilog

我一直以传统方式使用 Verilog 参数,即将它们传递给模块实例化以允许使用不同的规范。 换句话说,用于用给定的参数值替换 HDL 代码中的文本。 我也可以用它来进行逻辑计算吗? 如果我声明以下参数:parameter CONST = 100; 据我了解,CONST 将是 32 位(整数)。 例如 ...

Verilog中两个if语句并行赋值给同一个变量,那么优先级是多少? - Two if statements in parallel assigning value to same variable in Verilog, what is the precedence then?

假设我们有两个if条件将值赋给同一个变量,并且两个if语句可以同时为真。 x 的值是多少? 假设 z 和 y 等于 0。 从我在模拟器中的测试来看,代码中写得较低的if语句具有优先权。 当我用 z 和 y 的值等于 0 模拟下面的代码时,x 的值为 1。当我交换if条件时(带有“,z”的if条件 ...

测试 4 位加法器 - Testing a 4-bit adder

我正在尝试制作一个 4 位加法器并对其进行测试。 我决定使用wait来确定加法器电路何时完成,方法是检查我的sum和carry_out >= 0。电路的输入作为命令行 arguments 给出。我正在使用我的全加器构建我的 4 位加法器,它我能够使用这种方法成功测试。 全加器.v 4_bit ...

将整个二维压缩数组分配给具有相同元素数量的一维压缩数组 - Assigning the entirety of a 2D packed array to a 1D packed array with the same number of elements

我有以下信号: 我想将整个twoDim分配给oneDim即如果我写这样的东西: 和parameter X = 5那么我希望行为与以下相同: 这将如何在 Synthesizable SystemVerilog 中针对 X、Y 的所有可能值(它们是int unsigned )简洁地完成? ...

在 generate 语句中修改变量 - Modifying variables inside generate statements

我正在尝试为具有参数化输入数量的多路复用器模块编写 Verilog 代码。 我知道您可以将输入打包在一起并使用 select 输入来分割打包的变量,但我想为我的多路复用器使用二叉树结构。 问题在于使用辅助变量正确索引内部连线 (interm_w),这意味着我需要能够在内部 for 循环的每次迭代后修 ...

Verilog中initial和always块的执行顺序 - Execution order of initial and always blocks in Verilog

我是 Verilog 编程的新手,想知道 Verilog 程序是如何执行的。 是所有初始和始终块执行都在时间 t = 0 开始,还是初始块执行开始于时间 t = 0 并且所有始终块在初始块执行之后开始? 我检查了 Verilog 程序的抽象语法树,所有初始块和始终块都从同一层次结构开始。 非常感谢。 ...

在没有初始块的verilog中实现边缘触发复位 - implementing edge triggered reset in verilog without initial block

我正在尝试将 edge_triggered reset 添加到我的module中,这意味着只有在reset中检测到从 0 到 1 的瞬态时,才应该执行重置操作。 此外,我不想在我的模块中使用任何initial块(因为我认为初始块在 FPGA 中存在问题)。 最后,我决定遵循以下内容: 但我遇到的问题 ...

2022-08-23 07:16:33   1   26    verilog / hdl  
在 always 块中对变量进行两次非阻塞赋值给出了意外的答案 - non-blocking assignment to a variable twice in a always block gives unexpected answer

我正在尝试编写一个 Verilog 模块,其中一段代码是这样的: 但问题是 always 块的第一行被忽略(在每个 clk posedge 之后),换句话说, data_in没有放在buffer[119:112]中并且 buffer 始终保持200'b0 ! 第一个问题是什么原因? 第二个问题是我怎 ...

2022-08-09 13:17:36   2   22    verilog / hdl  

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM