cost 79 ms
错误 (10818):无法推断寄存器,因为它没有在时钟边沿之外保持其值,如何解决? - Error (10818): Can't infer register because it does not hold its value outside the clock edge, how to fix it?

我在 VHDL 中有一个 ram 的代码,在合成时出现以下错误: 错误 (10818):无法推断 RAM.vhd(50) 中“data.table[19][13]”的寄存器,因为它的值不保持在时钟边沿之外任何帮助,将不胜感激! 这是代码: ...

2023-01-22 02:26:54   1   64    vhdl / quartus  
从 VHDL 中的二进制文件初始化 std_logic_vector 的常量数组 - Initialize a constant array of std_logic_vector from binary file in VHDL

我已经打包了一个 IP 并且在它的顶部模块中我有一个常量数组 std_logic_vector 出于某种目的。 如果我只需要在设计中使用这个 IP 的一个实例,我可以根据需要编辑这个常量数组,瞧,但是如果我需要这个 IP 的多个实例(这个常量数组对于每个实例都应该不同)我必须找到另一种方法来做到这一 ...

VHDL:生成具有可调整案例数量的通用案例陈述 - VHDL: Generate a generic case statement with adjustable amount of cases

我想要通过将值保存在 LUT 中来获得 Tanh function 的近似值(通过这个我正在进行量化)。 我想选择 LUT 中的条目数。 作为一个不正确的例子,我想像这样的代码 例如,如果我想要 0 到 3 范围内的 4 个条目,我希望它正在合成如下代码: 有没有什么办法可以使这样的代码或实现其背后 ...

2023-01-12 15:02:59   1   61    vhdl  
VHDL 代码 MAC 单元 - 如何在不添加额外位的情况下通过对两个有符号信号求和来避免溢出 - VHDL Code MAC Unit - How to avoid overflow by summing up two signed signals without adding additional bits

我想做一个代码,它会累积一个输入信号。 这意味着输入信号被添加到先前的值。 这就是 output 问题是两个变量都有符号。 如果发生溢出,则从k-1 position溢出到k-1 position,表示符号被破坏。 例如,如果我有 01111,第一个“0”作为符号,并且我添加“10”,它会变为“10 ...

2023-01-10 19:07:49   1   60    vhdl  
Modelsim 2021.4 (Windows):如何从代码覆盖率报告中排除文件 - Modelsim 2021.4 (Windows): How to exclude files from code coverage report

我试图从我的代码覆盖率报告中排除某些 vhdl 文件,但我无法让它工作。 我的报告总是显示所有可用的文件。 我的工作流程如下: 在 Modelsim 中,我使用代码覆盖选项手动编译文件(在 GUI 中)。 测试台的脚本是这样的 Modelsim 将我的排除项(在 GUI 中)识别为“未决排除项”。 ...

获取 VHDL 中实数的 IEEE-754 单精度表示 - Get IEEE-754 single precision representation of a real number in VHDL

我想将一个实数转换为他的位表示,在 VHDL TB 中使用符号、指数和尾数字段用于测试目的(作为 32 位的 STD_LOGIC_VECTOR)。 有没有办法直接在 VHDL 中将实数转换为这种表示形式? 我知道在 C 中可以使用结构来实现它,但我不知道在 VHDL 中是否可行。 谢谢你。 编辑: ...

使用外部时钟的 VHDL 第二环形振荡器, - VHDL 2nd Ring Oscillator Using External Clock,

我是 VHDL 的新手,所以我使用电路板的内部时钟为环形振荡器开发了一些代码,但我想使用内部时钟添加第二个,但我在理解如何使用它时遇到了一些问题。 第一个完全正常,但是当我多次尝试添加第二个时,输出出现问题。 我还想对两个输出进行异或并将它们存储在我的代码中的向量中,但我一直在努力解决为什么它不起 ...

从端口重置到内部组件连接 - Reset from Port to internal components connection

我有一个由另一个模块组成的模块。 例如 这背后的逻辑是我有几个其他模块连接到重置(本例中未显示)并希望它们在不同的时间步骤重置但都在开始时(我不知道这是否可运行,因为我只将它写为最小示例) 我的模块“MVM”就像 顶层模块(层)的 In 端口在每个时钟周期获取新数据,第一个除外。 第一个时钟周期保留 ...

在行为模拟中,我的 FSM 有一个 state 需要超过 1 个时钟周期......我不喜欢它 - In behavioral simulation, my FSM have a state that take more than 1 clock cycle ... And i don't like it

如果您在我的代码中发现一些小错误,请原谅我自己。我仍然是 VHDL 的初学者。 好吧,我必须处理来自 ADC 的串行接口。 接口非常简单...有一条串行数据线(24 位帧),一个告诉我新样本数据何时可用的信号 DRDY 和一个将位推入(上升沿)。 一切都在连续运行...... 我需要正确捕获样本的 ...

带计数器的VHDL问题及其仿真 - VHDL problem with a counter and its simulation

我做了一个计数器,就像我通常在 VHDL (Modelsim) 上做的那样,当我用我的测试台模拟我的代码时,所有的计数器根本不工作。 它们保持在 0。这是计数器的代码: 这是程序给出的警告:警告:算术操作数中有一个'U'|'X'|'W'|'Z'|'-',结果将为'X'(es)。 我已经阅读了多个关于 ...


 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM