繁体   English   中英

使用Altera Quartus II将* .vhdl编译到库中

[英]Compiling *.vhdl into a library, using Altera Quartus II

我试图在VHDL中使用'浮点和定点包'作为我的滤波器设计的一部分。 我使用Altera Quartus II作为开发环境。 我从以下网站下载了文件包: http//www.vhdl.org/fphdl/ ,现可在http://web.archive.org/web/20160305202256/http://www.vhdl.org/fphdl上找到/

在他们的用户指南中,提到了以下内容:

'fixed_float_types_c.vhdl', 'fixed_pkg_c.vhdl' and 'float_pkg_c.vhdl'
should be compiled into a library called "ieee_proposed".

但是,我并不确切知道如何使用Altera Quartus II工具将提到的* .vhdl文件编译到库中。

任何提示将不胜感激。

使用Altera Quartus II GUI,您可以通过名为“Files”的“Project Navigator”选项卡将“fixed_float_types_c.vhdl”,“fixed_pkg_c.vhdl”和“float_pkg_c.vhdl”文件添加到项目中。 见下图。

在此输入图像描述

添加文件时,可以在“属性”下指定目标库。 见下图。

在此输入图像描述

您还可以修改项目的Quartus II设置(“.qsf”)文件,并添加以下行:

set_global_assignment -name VHDL_FILE fixed_float_types_c.vhdl -library ieee_proposed
set_global_assignment -name VHDL_FILE fixed_pkg_c.vhdl -library ieee_proposed
set_global_assignment -name VHDL_FILE float_pkg_c.vhdl -library ieee_proposed

但是,您可能想确定是否需要编译到“ieee_proposed”库中,否则您可以将它们编译到带有其他文件的“工作”库中。

只需将它们编译成work就像项目中的任何其他文件一样,并use work._library_name_引用它们。

暂无
暂无

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM