繁体   English   中英

Verilog在启动期间始终阻止执行

[英]Verilog always block execution during startup

我在verilog中始终有一个阻止,如下所示。 内部的所有输入信号始终处于阻塞状态,直到启动时间达到50ns。 我不希望在到达启动时间之前执行always块。 什么是实现此功能的最佳方法? 谢谢。

always begin
 //functional code
 #10
end

使用initial

initial #50ns forever begin /* functional code */ #10; end

或用于组合逻辑,请使用

initial #50ns forever @* begin /* functional code */ end

暂无
暂无

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM