繁体   English   中英

如何将vhdl模块包括到systemverilog文件中

[英]How to include vhdl modules to systemverilog file

我试图在vivado的systemverilog项目中使用两个vhdl模块。 (在同一个项目中)

我在一开始尝试使用include关键字,这没有给我任何错误,但是在综合上失败了,这是错误

[Synth 8-2715]语法错误-[[C:/Users/Batuhan/Desktop/fpga_VHDL_uart/Sonar_FPGA-master/src/MCU_UART_RX.vhd“:1]

它显示的行是vhdl文件的第一行,

-----------------------------------------------------------------------------

所以我想知道什么是包含其他模块的正确方法

只需实例化它们。 根据您的错误消息,我假设您要将MCU_UART_RX模块添加到您的测试平台。 所以如果你有一个模块Foo

entity Foo is
    Port ( Clk     : in STD_LOGIC;
           DataIn  : in STD_LOGIC;
           DataOut : out STD_LOGIC
           );
end Foo;

您可以通过编写此模块将其添加到您的系统Verilog测试平台

bit SimulationClock;
bit SimulationDataIn;
bit SimulationDataOut;

Foo DUT(
    .Clk(SimulationClock),
    .DataIn(SimulationDataIn),
    .DataOut(SimulationDataOut)
);

最后看起来像这样
在此处输入图片说明

暂无
暂无

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM