繁体   English   中英

我的程序可以在ModelSim中运行,但不能在实际的FPGA板上运行

[英]My program works in ModelSim, but doesn't work on real FPGA board

有我的VHDL代码BDF设计

当我在ModelSim中模拟我的VHDL代码时,它可以正常工作,但是当我在Quartus中模拟它或将其上传到FPGA Cyclone板上时,LED没有信号。 IDK,我想以哪种方式看待。

我快速浏览了一下您的代码,尽管它在语法上似乎是正确的,但它看起来并不是可合成的(即使可能)。

例如:

led_size : process(clk, size)
begin
  if size = '1' then     
    led_size_f <= led_size_f +1;
  end if;
  if  led_size_f > 4 then 
    led_size_f <=1;
  end if;
end process;

它甚至不使用clk ,它还会生成一个锁存器(通常是一件坏事,除非您完全确定自己在做什么),这很可能在尝试在FPGA中运行时给您带来问题。

我可以发布更多示例,但是如果我是您,我将尝试查看您最有可能从综合工具中获得的警告列表。

另外,请查看您的IDE是否附带一些用于同步设计的模板,然后尝试遵循这些模板,以确保您实际上在推断所需的硬件。

暂无
暂无

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM