簡體   English   中英

如何通過使用1位ALU在VHDL中創建4位ALU進行處理

[英]How to handle carry out by using 1 bit ALU to create 4 bit alu in VHDL

我必須創建一個1位的ALU,然后使用它來創建一個4位的ALU。 但是我在處理進貨時遇到很多問題。

4位ALU只能有2條選擇線,進位使用進位來產生8種不同的情況。

4位ALU只能有2條選擇線並進位。我的問題是如何正確處理進位以便輸入到另一個ALU中。

例如,如果我必須將“ 0011”與“ 0011”相加,您會注意到分配給兩個4位WORD中的第一位相加的第一個ALU將產生一個進位1。但是當該進位傳遞到另一個ALU時,功能表將從加法切換到減法。 由於Cin = 1產生減法。

功能表

我建議您忽略選擇表中的括號注釋。 除非B字詞缺少小節,否則第一個“減”注釋對我來說沒有任何意義。 如果這樣做,則除了增加/減少功能外,您將擁有相當漂亮的多位加法器...。 這些將需要更多的思考。 我懷疑表中有錯誤。

暫無
暫無

聲明:本站的技術帖子網頁,遵循CC BY-SA 4.0協議,如果您需要轉載,請注明本站網址或者原文地址。任何問題請咨詢:yoyou2525@163.com.

 
粵ICP備18138465號  © 2020-2024 STACKOOM.COM