簡體   English   中英

無符號整數轉換VHDL

[英]unsigned to integer conversion VHDL

我正在嘗試將unsigned(7 downto 0)數據轉換為整數!

我有這個

SIGNAL SQ_X1: INTEGER RANGE 0 TO 1024:= conv_integer(pos_ini_x);

其中pos_ini_x來自另一個模塊,該模塊是隨機數計算的結果。 語法問題很好,但是當我轉換為分配給SQ_X1變量時,它不能很好地工作,因此總是提供零。

如果您知道如何改善這種轉換並解決此問題並解釋其發生原因,請您能幫我嗎?

將不勝感激。

您正在將conv_integer作為信號的初始化程序。 pos_ini_x大概為0時,在pos_ini_x期間僅調用一次。如果要在SQ_X1后更新SQ_X1信號,則必須使用信號分配對其進行更改。

請注意, conv_integer是非標准函數。 ieee.numeric_std的標准等效ieee.numeric_stdto_integer

暫無
暫無

聲明:本站的技術帖子網頁,遵循CC BY-SA 4.0協議,如果您需要轉載,請注明本站網址或者原文地址。任何問題請咨詢:yoyou2525@163.com.

 
粵ICP備18138465號  © 2020-2024 STACKOOM.COM