簡體   English   中英

emacs verilog 模式局部變量未解析

[英]emacs verilog-mode local variables not parsed

我正在嘗試在 SystemVerilog 文件本身中設置一些 Verilog 模式局部變量,例如:

// Local Variables:
// verilog-library-flags:("-y ../../../ip_lib/")
// verilog-typedef-regexp: ".*_t$"
// verilog-auto-reg-input-assigned-ignore-regexp: ".*")
// End:

然后我在命令行中調用 emacs 來生成代碼:

emacs --batch ./test.sv    -f verilog-batch-auto

但這告訴我它找不到應該在../../../ip_lib/ 中的模塊

但是,如果我使用:

emacs -q   --eval='(progn (setq-default verilog-library-flags "-y ../../../ip_lib") (setq-default verilog-typedef-regexp ".*_t$"))' --batch ./test.sv    -f verilog-batch-auto

有用。 問題是什么?

我不使用verilog,但看了你的例子我可以看到

(setq-default verilog-library-flags "-y ../../../ip_lib")

// verilog-library-flags:("-y ../../../ip_lib/")

正在設置不同的類型。 前者是一個字符串值,而后者是一個列表值(包含單個項目,是一個字符串)。

所以這大概就是問題所在。

暫無
暫無

聲明:本站的技術帖子網頁,遵循CC BY-SA 4.0協議,如果您需要轉載,請注明本站網址或者原文地址。任何問題請咨詢:yoyou2525@163.com.

 
粵ICP備18138465號  © 2020-2024 STACKOOM.COM