简体   繁体   English

在Eclipse(VHDL)中激活/使用ISim工具链

[英]Activating/Using ISim tool chain with Eclipse (VHDL)

I'm attempting to program very basic VHDL on eclipse using the Sigasi plugin with an educational license - with the aim to be, I can program a simple entity and a test bench for it, then compile and simulate it in Xilinx's ISim. 我正在尝试使用带有教育许可证的Sigasi插件在Eclipse上对非常基本的VHDL进行编程-目的是,我可以为其编程一个简单的实体和一个测试平台,然后在Xilinx的ISim中进行编译和仿真。

I have installed Eclipse, Sigasi & Xilinx ISE Webpack, and have attempted to add the ISim toolchain to Eclipse as shown in the Sigasi website here 我已经安装了Eclipse,Sigasi与赛灵思ISE的WebPack,并试图添加ISIM工具链在Sigasi网站Eclipse作为显示在这里

It appears okay but it says I need to "activate" the toolchain (as shown below) 看起来还可以,但是它说我需要“激活”工具链(如下所示) 第一个窗口

However, when I follow the "click here to activate now", I get taken to the scree below - from which nothing happens. 但是,当我遵循“单击此处立即激活”时,将进入下面的屏幕-从此没有任何反应。 If I click Apply, nothing happens, there's no further options! 如果单击“应用”,则什么也没有发生,没有其他选择!

第二个窗口

My question - how do I actually configure ISim to launch from Eclipse, is what I want to do actually possible or have I misunderstood and if so, how do I do it. 我的问题-我实际上如何配置ISim以从Eclipse启动,这是我实际上想做的,或者是我被误解了;如果是这样,我该怎么做。

Thanks very much for your help! 非常感谢您的帮助!

David 大卫

When you enable the ISim toolchain, Sigasi will automatically compile your files with ISim when you save your files. 启用ISim工具链后,Sigasi将在保存文件时自动使用ISim编译文件。 You can check the output in the Console View 您可以在控制台视图中检查输出

To start a simulation, you need to set a top-level first. 要开始仿真,您需要首先设置一个顶层。 You can do this in the Hierarchy View . 您可以在“ 层次视图”中执行此操作。 Next, click on the Simulate button. 接下来,单击“ 模拟”按钮。

You can find more details in the Sigasi Studio manual 您可以在Sigasi Studio手册中找到更多详细信息

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM