cost 102 ms
Eclipse中的Sigasi - Sigasi in Eclipse

我刚刚在Eclipse中安装了Sigasi Studio插件(版本:Eclipse IDE 2018-12)。 当我尝试启动它以制作一个新的VHDL文件时,我得到以下信息: 无法启动所选的向导。 org / eclipse / lsp4j / Range(出现在com.sigasi ...

使用Sigasi编辑器的VHDL中的灵敏度列表不完整 - Incomplete sensitivity list in VHDL with Sigasi editor

当前,我尝试发展我的VHDL技能,因此我使用Sigasi Eclipse插件来编写一些VHDL代码。 Sigasi是一个很棒的工具,但是有一件事让我感到困扰。 不断地,Sigasi会在过程定义中抛出有关灵敏度列表不完整的警告,从我的观点来看,这是不合理的。 一个示例是具有相应体系结构的以下 ...

Sigasi Eclipse“ Codometer”一半隐藏 - Sigasi Eclipse “Codometer” half hidden

我正在使用Sigasi eclipse插件通过Eclipse编程和模拟VHDL。 但是,目前我只使用免费版本,该版本支持最大大小的项目。 通过左下角提供的“ codometer”小部件可以方便地指示此大小-但是,它的一半隐藏了,很多时候不可读-参见图片! 尽管使用了拖动条来移动它-我只 ...

在Eclipse(VHDL)中激活/使用ISim工具链 - Activating/Using ISim tool chain with Eclipse (VHDL)

我正在尝试使用带有教育许可证的Sigasi插件在Eclipse上对非常基本的VHDL进行编程-目的是,我可以为其编程一个简单的实体和一个测试平台,然后在Xilinx的ISim中进行编译和仿真。 我已经安装了Eclipse,Sigasi与赛灵思ISE的WebPack,并试图添加ISIM工具链 ...

在整个项目上运行Eclipse编辑器操作 - Run eclipse editor action on entire project

题: 有没有一种方法可以在项目的每个文件上运行可从编辑器的上下文菜单中使用的Eclipse动作。 实际情况: 我必须与leon3一起工作,我的愚蠢头脑无法充分理解代码,因此我不想扫描行以查看其中是否隐藏了分号以查看是否存在多条指令,或者如果end if发生错误,在另一条指令的后 ...


排序:
质量:
 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM