简体   繁体   English

Eclipse中的Sigasi

[英]Sigasi in Eclipse

I have just installed the Sigasi Studio pluginin Eclipse (version: Eclipse IDE 2018-12). 我刚刚在Eclipse中安装了Sigasi Studio插件(版本:Eclipse IDE 2018-12)。 When I try to launch it,to make a new VHDL file, I get the following: 当我尝试启动它以制作一个新的VHDL文件时,我得到以下信息:

The selected wizard could not be started. 无法启动所选的向导。 org/eclipse/lsp4j/Range (occurred in com.sigasi.hdt.vhdl.ui.VhdlExecutableExtensionFactory) org/eclipse/lsp4j/Range org / eclipse / lsp4j / Range(出现在com.sigasi.hdt.vhdl.ui.VhdlExecutableExtensionFactory中)org / eclipse / lsp4j / Range

How I could solve it, please? 请问我该如何解决? Thank you in advance. 先感谢您。

Thanks to the Sigasi support, I was able to solve the problem. 感谢Sigasi的支持,我得以解决此问题。 They wrote me: 他们写信给我:

The lsp4j plugin version is to recent for the xtext version that ships with Sigasi Studio 4.2. lsp4j插件版本是Sigasi Studio 4.2附带的xtext版本的最新版本。 This issue has been resolved in the preview channel of release 4.3. 此问题已在4.3版的预览通道中解决。 Therefore - if you wish to use the plugin version of Sigasi Studio - I recommend to install the 4.3 preview following the steps explained on http://insights.sigasi.com/tech/preview.html . 因此-如果您希望使用Sigasi Studio的插件版本-我建议按照http://insights.sigasi.com/tech/preview.html上说明的步骤安装4.3预览版。

That's all. 就这样。 Now, I would like to configure Sigasi with GHDL (as a compiler, when I run the project) and GTKWAVE (ad a waves viewer). 现在,我想用GHDL(作为运行我的项目的编译器)和GTKWAVE(使用wave浏览器)配置Sigasi。 How can I do that? 我怎样才能做到这一点?

Thanks in advance. 提前致谢。

SIGASI + GHDL + GTKWAVE (all in one) SIGASI + GHDL + GTKWAVE(全部合为一体)

It is very powerful combo that you can set up. 可以设置的功能非常强大。 ATTENTION i use macOS 10.13.6: 注意我使用macOS 10.13.6:

Step 1 第1步

Make sure you have both installed GHDL and GTKWAVE typing 确保同时安装了GHDL和GTKWAVE输入

$ which gtkwave
/usr/local/bin/gtkwave
$ which ghdl
/usr/local/bin/ghdl

Step 2 第2步

Open Sigasi an make new Project and create an additional compile.sh file with: 打开Sigasi一个新项目,并使用以下命令创建一个附加的compile.sh文件:

#!/bin/sh

PROJECT_NAME="PWM_Generator"
PROJECT_NAME_TB="PWM_Generator_tb"
WORKING_DIR="/Users/imeksbank/Dropbox/UMHDL"

/usr/local/bin/ghdl -a --workdir=$WORKING_DIR/work.ghdl $WORKING_DIR/$PROJECT_NAME/$PROJECT_NAME.vhd;
/usr/local/bin/ghdl -a --workdir=$WORKING_DIR/work.ghdl $WORKING_DIR/$PROJECT_NAME/$PROJECT_NAME_TB.vhd;
/usr/local/bin/ghdl -e --workdir=$WORKING_DIR/work.ghdl $PROJECT_NAME_TB;
/usr/local/bin/ghdl -r --workdir=$WORKING_DIR/work.ghdl $PROJECT_NAME_TB --vcd=$WORKING_DIR/$PROJECT_NAME/simulation.vcd;

now, be aware, for each project you create your own variables like 现在,请注意,对于每个项目,您都会创建自己的变量,例如

  • PROJECT_NAME 项目名
  • PROJECT_NAME_TB PROJECT_NAME_TB
  • WORKING_DIR WORKING_DIR

I use always Dropbox for such approach because then i can access via Windows as well. 我总是将Dropbox用于这种方法,因为这样我也可以通过Windows访问。
And of course, there is a possibility to create custom variables in Sigasi -> External Tool Configurator -> Program -> compile_sh -> environment to pass them to make compile.sh independent. 当然,也可以在Sigasi->外部工具配置器->程序-> compile_sh->环境中创建自定义变量,以传递它们以使compile.sh独立。 Here you have to deal with it by yourself =) 在这里,您必须自己处理=)

Step 3 . 第三步

Set up you External Tools Configurations to let shell script be executed by Sigasi Studio and create the .vcd file for gtkwave : 设置您的外部工具配置,以使Sigasi Studio执行Shell脚本并为gtkwave创建.vcd文件:

Click on currently created Project (in my case it is the PWM_Generator). 单击当前创建的项目(在我的情况下为PWM_Generator)。
After that click on Run -> External Tools -> External Tools Configurations ... . 之后,单击运行->外部工具->外部工具配置...。
Then go to the left sidebar and under Program create your own anchor like compile_sh . 然后转到左侧边栏,然后在Program下创建您自己的锚点,例如compile_sh

Finally you have your route : 最后,您有了路线:

  • Program 程序
  • --compile_sh --compile_sh

And now extend this anchor by a custom created shell script : 现在,通过自定义创建的shell脚本扩展此锚点:

Main->Location gets ${workspace_loc:/PWM_Generator/compile.sh} Main-> Location得到$ {workspace_loc:/PWM_Generator/compile.sh}
Main->Working Directory gets ${workspace_loc:/PWM_Generator} Main-> Working Directory获取$ {workspace_loc:/ PWM_Generator}

Click Apply and Run and that's it !!! 单击“应用并运行”,就是这样! After this you can program VHDL / Verilog and compile via Run -> External Tools -> compile_sh having created .vcd . 之后,您可以对VHDL / Verilog进行编程,并通过运行->外部工具-> compile_sh创建.vcd进行编译 In your project appears the gtkwave file and there just double click and it starts. 在您的项目中出现gtkwave文件,只需双击它就可以启动。 =) =)

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM