简体   繁体   English

忽略Covergroup的一个实例的coverage bin

[英]ignore coverage bin of one instance of covergroup

How to ignore coverage bin for particular instance; 如何忽略特定实例的coverage bin; how to ignore bins one for cov2 instance ? 如何忽略cov2实例的垃圾箱?

class cov extends uvm_subscriber # (transfer)
  function new(string name, uvm_component parent);
    super.new(name,parent);
    cov_tr = new();
    cov_tr.set_inst_name();
  endfunction

  function void write(transfer t);
    ignore_one = t.ignore_one;
    cov_tr.sample();
  endfunction

  covergroup cov_tr;
  option.per_instance = 1;
  tr_value : coverpoint tr_val {
    bins one : 1;
    bins next : [2:7];
  }
endgroup

cov cov1,cov2;
master1.connect(cov1.analysis_port);
master2.connect(cov2.analysis_port);

您可以在过程块中使用stop方法停止收集coverage。

cov2.cov_tr.stop();

This is not possible. 这是不可能的。 Binning must be exactly the same for any instance of a given covergroup. 对于给定CoverGroup的任何实例,分箱必须完全相同。

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM