简体   繁体   中英

What is the diffrence between Non-Consecutive GoTo Repetition Operator and Repetition Non-Consecutive in system verilog?

I'm currently reading Ashok Mehta's system Verilog assertion textbook. Now I have encountered a doubt. I couldn't understand what is the difference between -> and = operator. Ie, Non-Consecutive GoTo Repetition Operator and Repetition Non-Consecutive. Some please explain what is the difference. The timing things in the textbook will be similar even if we used = instead of ->. Please explain me.

这是 Ashok Mehta 的书的快照

The difference comes into play is when a sequence follows these repetition operators.

b[=2] ##1 c

is equivalent to

b[->2] ##1 !b[*0:$] ##1 c

There are other ramifications when using these operators discussed here

The technical post webpages of this site follow the CC BY-SA 4.0 protocol. If you need to reprint, please indicate the site URL or the original address.Any question please contact:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM