繁体   English   中英

在Quartus II中生成综合后Verilog模型

[英]Generating post-synthesis verilog model in Quartus II

我具有Xilinx背景,现在我碰巧在Altera器件上编写了一些代码。 我对生成后期综合模型(以及后期拟合)有疑问。 在Xilinx上,我有一个netget能够生成我设计的verilog或vhdl后合成模型,并且可以在iverilog编译器中自由使用。 我已经找到quartus_eda工具,但是我无法执行我想要的,我可以生成*.vo文件,看起来不错,但是我找不到能够覆盖其中使用的元素的库。 我正在使用--tool=modelsim 我应该在哪里照顾他们?

有关ModelSim模拟中Altera器件的预编译库,请参见ModelSim-Altera预编译库。

准备EDA仿真也可能会有所帮助。

但是,您可能会重新考虑进行后期综合/拟合仿真,因为RTL级别的功能仿真与静态时序分析(STA)相结合可能是另一种方法。 如果打算通过拟合后仿真来验证时序,那么请注意,Altera显然放弃了这种支持,因为标准延迟格式输出文件(.sdo)文件中的时序信息不是为拟合后仿真信息生成的,例如Cyclone V器件。

暂无
暂无

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM