繁体   English   中英

如何在延迟 5ns 后启动时钟?

[英]How do I start a clock after a delay of 5ns?

在我的项目中,我需要两个单独的时钟,但其中一个, bit_clk需要在延迟 5ns 后启动。 我怎样才能做到这一点?

`timescale 1ns/100ps
always #40 clk =~ clk;
always #5 bit_clk =~ bit_clk;

您可以通过在initial块内使用forever循环来延迟时钟的开始:

`timescale 1ns/100ps

module tb;

bit clk, bit_clk;

always #40 clk =~ clk;

initial begin
    #5; // Delay before starting
    forever #5 bit_clk =~ bit_clk;
end

endmodule

暂无
暂无

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM