簡體   English   中英

我的觸發器JK總是返回X

[英]my Flip Flop JK always return X

我想寫觸發器JK。 我寫了它,但是當我運行它時,它總是返回x。 它應該看起來像這樣: pic和test模塊僅用於測試

`timescale 1ns / 100ps
module flipflopJK(input j , k , r , s , clk , output q , nq);//nq = not q -- r=rest -- s=set 
    wire w1,w2,w3,w4;//explaine wires in pic in file
    assign w3=q;
    assign w4=nq;
    nand n1(w1,j,clk,nq);
    nand n1(w2,k,clk,w3);
    nand n3(q,r,w1,w4);
    nand n4(nq,s,w2,w3);
endmodule 
module test;
    reg clk,rst,st,a,b;
    wire ck;
    flipflopJK f(.j(a),.k(b),.r(rst),.s(st),.clk(clk),.q(ck),.nq( ));
    initial
    begin
    $monitor("j:%b  k:%b    ck:%b",a,b,ck);
    end
    initial
    begin
        clk = 1'b0;
        rst = 1'b1;
        st=1'b1;
        a=1'b0;b=1'b0;
        #5 a=1'b0;b=1'b1;
        #10 a=1'b1;b=1'b0;
        #15 a=1'b1;b=1'b1;
    end
    always
        #5 clk = ~clk;

endmodule

編譯后的結果

soroush@soroush:~/Desktop/MadarManteghi/P2$ vvp P2
j:0 k:0 ck:x
j:0 k:1 ck:x
j:1 k:0 ck:x
j:1 k:1 ck:x

我收到編譯錯誤。 我將第二個n1實例更改為n2

您需要在測試台中將strst脈沖為低電平。

initial
begin
    clk = 1'b0;
    rst = 1'b1;
    st=1'b1;
    repeat (5) @(negedge clk);
    st=0;
    repeat (5) @(negedge clk);
    a=1'b0;b=1'b0;
    repeat (5) @(negedge clk);
    a=1'b0;b=1'b1;
    repeat (5) @(negedge clk);
    a=1'b1;b=1'b0;
    repeat (5) @(negedge clk);
    a=1'b1;b=1'b1;
end

暫無
暫無

聲明:本站的技術帖子網頁,遵循CC BY-SA 4.0協議,如果您需要轉載,請注明本站網址或者原文地址。任何問題請咨詢:yoyou2525@163.com.

 
粵ICP備18138465號  © 2020-2024 STACKOOM.COM