簡體   English   中英

如何在波形中查看 SystemVerilog 動態數組

[英]How to view a SystemVerilog dynamic array in waveform

我正在嘗試使用 SV 采用動態 arrays 和隊列來實現 FIFO。 但是我無法在 waveviewer 中查看動態數組/隊列的波形。 有誰知道如何查看動態 arrays 的波形還是不可能的?

EDA Playground 中的結果:

錯誤消息:[2020-05-28 01:53:22 EDT] iverilog '-Wall' '-g2012' design.sv testbench.sv && unbuffer vvp a.out ivl: eval_object.c:65: eval_darray_new: Assertion `0 ' 失敗的。 中止(核心轉儲)退出代碼預期:0,收到:1

在 SNPS VCS 中:

無法將某些信號添加到波形,因為在 FSDB 中找不到它們。

 module dynamic_array_data();
      reg [7:0] mem [];
      initial begin
      $dumpfile("dump.vcd");
      $dumpvars;
      #10000 $finish;
    end
      initial begin
      mem = new[4];
      for (int i = 0; i < 4; i ++) begin
      mem[i] = i;
      end
      end
    endmodule

VCD 文本格式從未增強以支持 SystemVerilog 引入 Verilog 的許多數據類型,尤其是動態大小的 arrays。

您將無法使用 EDAPlayground 上的任何工具,因為它依賴於$dumpvars中的 VCD 文件來顯示波形。

暫無
暫無

聲明:本站的技術帖子網頁,遵循CC BY-SA 4.0協議,如果您需要轉載,請注明本站網址或者原文地址。任何問題請咨詢:yoyou2525@163.com.

 
粵ICP備18138465號  © 2020-2024 STACKOOM.COM