简体   繁体   中英

How to view a SystemVerilog dynamic array in waveform

I'm trying to implement a FIFO using SV taking dynamic arrays & queues. However i'm unable to view waveform of the dynamic array/queues in the waveviewer. Does anyone know how to view waveform of dynamic arrays or it is not possible?

Result in EDA Playground:

Error message: [2020-05-28 01:53:22 EDT] iverilog '-Wall' '-g2012' design.sv testbench.sv && unbuffer vvp a.out ivl: eval_object.c:65: eval_darray_new: Assertion `0' failed. Aborted (core dumped) Exit code expected: 0, received: 1

In SNPS VCS:

failed to add certain signals to waveform because they cant be found in FSDB.

 module dynamic_array_data();
      reg [7:0] mem [];
      initial begin
      $dumpfile("dump.vcd");
      $dumpvars;
      #10000 $finish;
    end
      initial begin
      mem = new[4];
      for (int i = 0; i < 4; i ++) begin
      mem[i] = i;
      end
      end
    endmodule

The VCD text format was never enhanced to support many of the datatypes that SystemVerilog introduced to Verilog, especially none of the dynamically sized arrays.

You won't be able to use any of the tools on EDAPlayground since it relies on VCD files from $dumpvars to show waveforms.

The technical post webpages of this site follow the CC BY-SA 4.0 protocol. If you need to reprint, please indicate the site URL or the original address.Any question please contact:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM