简体   繁体   English

如何在SystemVerilog中使运算符超载

[英]How to overloading an operator in SystemVerilog

Does anyone have a working example for overloading an operator in SystemVerilog? 有人在SystemVerilog中有重载操作符的工作示例吗? I read the spec and tried "bind" with Questasim 10.3. 我阅读了规范并尝试与Questasim 10.3“绑定”。 But there's no luck. 但是没有运气。

我认为没有任何工具支持此构造。

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM