简体   繁体   中英

How to overloading an operator in SystemVerilog

Does anyone have a working example for overloading an operator in SystemVerilog? I read the spec and tried "bind" with Questasim 10.3. But there's no luck.

我认为没有任何工具支持此构造。

The technical post webpages of this site follow the CC BY-SA 4.0 protocol. If you need to reprint, please indicate the site URL or the original address.Any question please contact:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM