cost 233 ms
使用BUFIO和BUFG到达时钟区域 - Reaching clock regions using BUFIO and BUFG

我需要在Virtex 6中实现一个源同步接收器,该接收器从高速ADC接收数据和时钟。 对于SERDES模块,我需要两个时钟,它们基本上是传入的时钟,由BUFIO和BUFR缓冲(推荐)。 我希望我的照片能使情况清楚。 时钟分配 我的问题是,我有一些IOB,BUFIO无法达到,因为它 ...

当设计仅具有输入信号Din时,如何将来自测试设备的LVDS信号连接到fpga virtex 5? - how to connect LVDS signals coming from test equipment to fpga virtex 5 when the design has only input signal Din ?

我将在PM2模块的引脚连接器上提供din +到A1和din-到A2,连接到FPGA,但是在顶层vhdl设计模块中只有1个输入端口“ din”连接到FPGA上的AG7引脚。 如何在UCF文件中进行连接? ...

Xilinx Virtex6滑枕宽度 - Xilinx Virtex6 block ram width

我对Virtex-6 BlockRAM感到困惑。 我想实现一个具有15位地址(32,768个字)和每个字12位写入和读取数据的BRAM。 当我探索实现的设计时,我发现为此使用了12个BlockRAM。 这是否意味着Virtex-6中的每个BRAM都有1位数据? Virtex-6中 ...

如何读取JTAG链中Virtex 5的状态寄存器? - How do I read the status register of a Virtex 5 in a JTAG chain?

我正在使用XUPV5-LX110T,并且尝试通过JTAG读取状态寄存器。 我得到的数据不正确,但是我不明白为什么。 我似乎全为零。 我怀疑这与JTAG链的顺序有关,但是我不确定如何调整发送命令的顺序。 我知道TMS坑会改变链上所有设备的状态,但是当数据是链上的最后一个设备时,如何 ...

ChipScope错误-在缓冲区中找不到触发标记 - ChipScope Error - Did not find trigger mark in buffer

是否有人提到ChipScope中的数据错误,触发错误或上载错误? 我在IP核心流程中使用ChipScope(来自ISE 14.7)。 因此,我创建了15个不同的ICON IP内核作为ngc文件,并将它们全部包装在VHDL模块中。 该模块选择由带有ngc的通用文件实例化。 因此,我可以 ...

如何获得Xilinx Virtex-5 FPGA芯片的片上温度? - How to get the on-chip temperature of Xilinx Virtex-5 FPGA chip?

我正在尝试进行实验,以了解不同的片上温度如何影响环形振荡器的频率。 我知道随着温度的升高,环形振荡器的频率也会降低。 但是我不知道每增加1摄氏度,它会减少多少。 这就是为什么我想做实验。 我计划在Xilinx Virtex-5 FPGA板(ML501,ML506或ML510)中实现环形振 ...

如何更改Xilinx Virtex-5 FPGA ML501,ML506和ML510板的电源电压? - How to vary the supply voltage for Xilinx Virtex-5 FPGA ML501, ML506, and ML510 boards?

我正在尝试进行实验,以了解不同的电源电压如何影响环形振荡器的频率和SRAM单元的可靠性。 我可以使用Xilinx Virtex-5板卡,即ML501,ML506和ML510。 我曾尝试在网上搜索,但到目前为止,我还没有发现任何有用的信息。 我有一些FPGA设计经验,但是我以前从未尝试过更改 ...

如何将Xilinx-FPGA Virtex5 / 7的内存用作映射到x86-CPU地址空间的内存? - How to use the memory of the Xilinx-FPGA Virtex5/7 as a memory mapped into the x86-CPU's address space?

是否可以将Xilinx-FPGA Virtex5 / 7的内存用作映射到Intel x86_64-CPU内存的虚拟和/或物理地址空间的内存,并且该怎么做? 最大限度地,我需要使用统一的单个地址空间,并且具有从CPU对FPGA存储器的直接存储器访问(DMA)(例如对CPU-RAM的简单存储器 ...

VHDL Verilog整数数组端口 - VHDL Verilog Integer Arrays Ports

我正在为Breakout Game的FPGA实施项目。 在这个游戏中,我们必须使用球和桨来打破砖块。 一些砖块可能会在与球的多次接触上破裂。 为此,我使用整数数组来表示打破特定积木所需的命中数。 例如(2,0,1,2)表示需要打破2个匹配项的Brickk,然后是已分解的匹配的砖块,接着是 ...

如何使用5x5filter(Xilinx块),它不断告诉我计数器存在错误? - How can I use 5x5filter (Xilinx block), it keeps telling me there is an error in the counter?

我正在尝试使用Xilinx块将边缘滤镜应用于图像, 我使用了5x5缓冲区,然后将5x5过滤器连接到了它。 但是它一直告诉我: 非法时间段,这会阻止尝试将时间段设置为系统速率的非整数倍。“块配置”期间发生错误。 我不明白。 ...

使用XILINX XPS和Microblaze - 最快的方式来编程fpga - Using XILINX XPS with Microblaze - quickest way to program the fpga

我正在设计一个基于xilinx fpga上的microblaze微处理器的微控制器。 大多数硬件设置已完成。 此时我正在更新的是在微型光盘上运行的c代码。 在XPS中是否有办法不必重建整个项目,只需要c代码部分。 重建整个项目大约需要20分钟 - 如果我没有必要的话会很好。 谢谢。 ...


 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM