繁体   English   中英

如何从Spartan6写入Nexys3 FPGA板上的Micron外置蜂窝RAM?

[英]HOW do I write from a Spartan6 to the Micron external Cellular RAM on the Nexys3 FPGA Board?

我到处寻找,数据表,Xilinx网站,挖掘机等等,找不到任何东西! 我能够使用Adept工具来验证我的Cellular RAM是否正常运行,但我找不到任何库存VHDL代码作为控制器来写入数据并从中读取数据! 救命!!

找到这个链接,但它是针对异步模式,这还不够快: http//embsi.blogspot.com/2013/01/how-to-use-cellular-ram-from-micron.html

最终在Nexys 2 Digilent页面上找到了这个: http : //www.digilentinc.com/Products/Detail.cfm? Prod=NEXYS2在“板载内存控制器参考设计”下,Nexys 3的详细信息中没有包含这一点真是遗憾因为它会节省很多时间! 希望有这个问题的其他人至少可以找到我在这里发布的内容并快速找到它...

暂无
暂无

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM