繁体   English   中英

Verilog 寄存器不能由原语或连续赋值驱动

[英]Verilog register cannot be driven by primitives or continuous assignment

我想在这样的测试台中使用一个模块:

reg [31:0] OutputVal;
reg [15:0] InputVal;
sign theSignExtender(InputVal,OutputVal);

当我编译时,我收到错误:

错误:reg 输出值; 不能由原语或连续赋值驱动。

有什么建议吗?

改变:

reg [31:0] OutputVal;

到:

wire [31:0] OutputVal;

暂无
暂无

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM