簡體   English   中英

Verilog 寄存器不能由原語或連續賦值驅動

[英]Verilog register cannot be driven by primitives or continuous assignment

我想在這樣的測試台中使用一個模塊:

reg [31:0] OutputVal;
reg [15:0] InputVal;
sign theSignExtender(InputVal,OutputVal);

當我編譯時,我收到錯誤:

錯誤:reg 輸出值; 不能由原語或連續賦值驅動。

有什么建議嗎?

改變:

reg [31:0] OutputVal;

到:

wire [31:0] OutputVal;

暫無
暫無

聲明:本站的技術帖子網頁,遵循CC BY-SA 4.0協議,如果您需要轉載,請注明本站網址或者原文地址。任何問題請咨詢:yoyou2525@163.com.

 
粵ICP備18138465號  © 2020-2024 STACKOOM.COM