简体   繁体   English

Verilog 寄存器不能由原语或连续赋值驱动

[英]Verilog register cannot be driven by primitives or continuous assignment

I want to use a module in a test bench like this:我想在这样的测试台中使用一个模块:

reg [31:0] OutputVal;
reg [15:0] InputVal;
sign theSignExtender(InputVal,OutputVal);

When I compile, I get the error:当我编译时,我收到错误:

error: reg OutputVal;错误:reg 输出值; cannot be driven by primitives or continuous assignment.不能由原语或连续赋值驱动。

Any suggestions?有什么建议吗?

Change:改变:

reg [31:0] OutputVal;

to:到:

wire [31:0] OutputVal;

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM