繁体   English   中英

Verilog将一位线升级为64位总线

[英]Verilog promote one-bit wire to 64-bit bus

我有一个64位总线,并且我希望总线的每一位都具有一根位的导线。 最好的方法是什么? 我想做下面的事情,但是它似乎没有按预期工作。

logic [63:0] bus, other_bus;
logic signal;
...
bus = other_bus & signal;

复制signal 64次。 请参阅IEEE Std 1800-2012,第11.4.12.1节“复制运算符”:

bus = other_bus & {64{signal}};

您也可以尝试:- for (i=0,i<=63;i++) begin:ANDLoop bus[i] = other_bus[i] & signal; end:ANDLoop for (i=0,i<=63;i++) begin:ANDLoop bus[i] = other_bus[i] & signal; end:ANDLoop

暂无
暂无

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM