繁体   English   中英

使用:.tcl脚本中的set_global_assignment -name QIP_FILE失败-Quartus

[英]Using: set_global_assignment -name QIP_FILE in .tcl script fails - Quartus

标题确实说明了一切。

我有一个设置,在设置此类分配时,需要使用环境变量。 大多数功能似乎都可以使用,但是在tcl脚本中进行QIP_FILE分配时,会出现Quartus错误。 在.qsf项目文件中使用显式路径即可。 是否可以在.qsf文件中使用环境变量,或者在.tcl脚本中做错了什么?

我正在使用的行是:

set_global_assignment -name QIP_FILE $::env(MY_VAR_PATH)/my/path/my.qip

任何帮助都会很棒,

提前致谢

编辑:尝试使用.tcl脚本时出现的错误是:

------------------------------------------------
ERROR: Can't open project: flash_leds

    while executing
"project_open $project_name -cmp $ap_name"
    (file "/opt/altera/15.0/quartus/common/tcl/internal/qsh_smart.tcl" line 60)
------------------------------------------------

所以我设法找到了解决方案!

我称这个.tcl脚本为.qsf文件中的源代码 (因为它包括其他也需要采购的脚本。由于某种原因,事实证明,

set_global_assignment -name QIP_FILE ...

使用源调用.tcl文件时,该命令不起作用(但是其他set_global_assignment调用也可以起作用...我真的不知道那里发生了什么!)。

为了解决这个问题,我必须创建另一个.tcl文件,并将所有set_global_assignment调用移至该文件,而在其他文件中仅保留其他脚本。 然后,必须通过以下方式将此新文件包含在.qsf文件中:

set_global_assignment -name SOURCE_TCL_SCRIPT_FILE <filename>

这似乎解决了我的问题,并且使用环境变量路径正确找到了QIP文件。

暂无
暂无

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM