繁体   English   中英

在 systemverilog 中使用 $realtime 评估 wait()

[英]Using $realtime to evaluate wait() in systemverilog

是否可以使用 $realtime 来评估 systemverilog 中wait()的条件? 例如,下面的代码对我不起作用:

wait(($realtime - start_time >= some_specific_value) || (cancel_wait))

这不起作用,因为wait(expression)需要在重新计算表达式之前看到表达式中的操作数更改其值。 $realtime只是一个没有操作数 arguments 的 function 调用。

一种可能的替代方案,可为您提供所需的行为

fork
  #some_specific_value;
  wait (cancel_wait);
join_any

暂无
暂无

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM