繁体   English   中英

如何使用 VHDL 从键盘获取 Basys2 FPGA 的数据?

[英]How to get data from keyboard for Basys2 FPGA using VHDL?

正如标题所暗示的,我们如何使用 VHDL 从键盘获取数据到Basys2 FPGA?

我确信董事会有一些参考实现——看看它,他们是如何做到的。 您需要的是 PS/2 引脚如何连接到 FPGA 引脚(我确信这是在某种 ucf 文件中)。 然后你就有了信号,你可以用它们做任何你想做的事情。

正如安迪(Eg Andy)已经建议的那样,如果您真的想对其进行 bitbang,则应该查看 PS/2 协议(我假设在他们的参考设计中,他们在 fpga 上只有一些软核,并或多或少地在软件中处理它)。

暂无
暂无

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM