簡體   English   中英

如何在FPGA板上使用VHDL顯示句子

[英]How to display a sentence with VHDL on a FPGA board

我只是想知道是否可以在FPGA板的7段顯示器上顯示一個句子,例如“售罄”,而我只能顯示四個字母。

我希望它顯示先售后售。

如果可以實現,我該怎么辦? 時鍾分頻器?

您首先要研究董事會的職能。 它如何連接到LCD顯示器? 將值驅動到顯示器所需的波形是什么? 不同的板將有所不同。 Digilent板往往是常見的陽極。 一些Altera板將所有四個7段顯示器直接連接到FPGA IO(浪費IO,但是誰在乎何時擁有足夠的資源)。

接下來,您確定如何在顯示器上顯示字符。 價值何在? 將值從內部表示形式(例如ASCII)轉換為顯示器上的值的方式。

WRT時鍾,我的首選是使用通用的FPGA時鍾,並使用加載使能來周期性指示何時加載其他圖像。

考慮一下您應該以多快的速度切換顯示? 我的建議是確保在切換之前將每個值顯示1秒鍾,並在看到它起作用后進行調整。 如果您過多地減少了顯示時間,則由於兩個值的顯示時間都不夠長,因此顯示將模糊。

接下來,我如何顯示4個字符?

接下來,我如何使字符在不同的值之間移動或交替。 這可以是字符寬移位寄存器,也可以是兩個不同的顯示寄存器。

在每個步驟中,您都應在編寫任何代碼之前繪制硬件圖。

暫無
暫無

聲明:本站的技術帖子網頁,遵循CC BY-SA 4.0協議,如果您需要轉載,請注明本站網址或者原文地址。任何問題請咨詢:yoyou2525@163.com.

 
粵ICP備18138465號  © 2020-2024 STACKOOM.COM