簡體   English   中英

如何在verilog中將變量作為二維數組中的參數傳遞

[英]how to pass variables as arguments in two dimensional array in verilog

由於在 48 位數組中,如何在 Verilog 中將變量作為參數數組傳遞? 我想要第一個 MSB 1 的 23 位數組。有人可以告訴我這種情況的方法或程序嗎?

例如,如果我給出如下輸入:
001010101010111000100010010100100110001101010101
輸出應該是:
01010101011100010001001。

基本上,您需要對壓縮數組執行切片。

可以有很多方法來做到這一點,例如流運營運營商等。

我在這里提供了幾種方法。 使用for循環和-: 運算符 如果您使用 system-verilog,則首選使用 -: 運算符。

PS:我還是不明白你為什么提到二維數組

暫無
暫無

聲明:本站的技術帖子網頁,遵循CC BY-SA 4.0協議,如果您需要轉載,請注明本站網址或者原文地址。任何問題請咨詢:yoyou2525@163.com.

 
粵ICP備18138465號  © 2020-2024 STACKOOM.COM