簡體   English   中英

使用SystemVerilog讀取文件中的可變長度字符串

[英]Read Variable length string in a file using SystemVerilog

假設我有可變長度的字符串,如下所示:

Write <Address> <Data0> <Data1> <Data2>
Read <Address>
Write <Address> <Data0>
Write <Address> <Data0> <Data1> <Data2> <Data3>

如何使用文件操作在SystemVerilog或Verilog中閱讀。 當文本長度固定時,我會閱讀

integer file    = $fopen(file_name,"r");
code = $fgets(line, file);
code = $sscanf(line, "%s %h %h %h", txn_type, Address, Data[i]);

只要字段數量不固定,就可以使用$sscanf ,只要您提供最大數量的字段即可。 放置在code的返回值指示掃描的參數的實際數量。 因此,只需創建一個虛擬參數列表並復制該行提供的參數即可

暫無
暫無

聲明:本站的技術帖子網頁,遵循CC BY-SA 4.0協議,如果您需要轉載,請注明本站網址或者原文地址。任何問題請咨詢:yoyou2525@163.com.

 
粵ICP備18138465號  © 2020-2024 STACKOOM.COM