簡體   English   中英

賽靈思ISE: <vga> 沒有聲明

[英]xilinx ISE: <vga> is not declared

我正在為vga控制器編寫代碼,並且在頂級模塊Xilinx ISE中出現此錯誤:

<vga>未聲明

當我將端口映射到頂部模塊時

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity vga_controller is
port(mclk : in std_logic;
button: in std_logic;
hs: out std_logic;
vs: out std_logic;
red: out std_logic_vector (3 downto 0);
green: out std_logic_vector (3 downto 0);
blue: out std_logic_vector (3 downto 0)
);
end vga_controller;

architecture vga_controller of vga_controller is
signal clk25,clr,video :std_logic;
signal hc,vc:std_logic_vector (9 downto 0);
begin
clr<=button;
M2: vga
port map (clk25,button,hs,vs,hc,vc,video);
M3: vga_plotter
port map(hc,vc,video,red,green,blue);
end vga_controller;

您沒有VGA組件的組件聲明。

暫無
暫無

聲明:本站的技術帖子網頁,遵循CC BY-SA 4.0協議,如果您需要轉載,請注明本站網址或者原文地址。任何問題請咨詢:yoyou2525@163.com.

 
粵ICP備18138465號  © 2020-2024 STACKOOM.COM