[英]verilog expecting a semicolon error near generate block
It's been years I've been working with verilog but recently I'm testing something with verilog. 我从事verilog已有多年了,但是最近我正在使用verilog进行测试。 During a ncvlog compile, I have an error for which I can't find the cause.
在ncvlog编译期间,出现一个我找不到原因的错误。 Below is the code(not complete yet).
以下是代码(尚未完成)。
`include "default.v"
module conv (
input clr,
input clk,
input start_conv,
output integer raddr,
output integer waddr,
input real data_in,
output real data_out
);
parameter NUM_CONV = `DEF_NUM_CONV;
genvar i;
generate
for (i=0; i<NUM_CONV; i=i+1) begin : uconv
unit_conv inst() (
.clr (clr),
.clk (clk),
.start (start_conv),
.rreq (rreq[i]),
.raddr (raddr[i]),
.rdata (rdata[i]),
.wreq (wreq[i]),
.waddr (waddr[i]),
.wdata (wdata[i])
);
end
endgenerate
endmodule
The error I get is like below : 我得到的错误如下:
ckim@stph45:~/Neuro/convhw] ncvlog -sv conv.v
ncvlog: 12.20-s008: (c) Copyright 1995-2013 Cadence Design Systems, Inc.
unit_conv inst() (
|
ncvlog: *E,EXPSMC (conv.v,19|17): expecting a semicolon (';') [12.1.2][7.1(IEEE)].
Is the port mapping syntax for generated instances wrong? 生成实例的端口映射语法是否错误? According to this it seems correct though... By the way, I compile with
ncvlog -SV conv.v
. 因此, 这似乎是正确的...顺便说一下,我使用
ncvlog -SV conv.v
编译。
Consider the following: 考虑以下:
unit_conv inst (
.clr (clr),
.clk (clk),
.start (start_conv),
.rreq (rreq[i]),
.raddr (raddr[i]),
.rdata (rdata[i]),
.wreq (wreq[i]),
.waddr (waddr[i]),
.wdata (wdata[i])
);
声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.