简体   繁体   English

Verilog期望在生成块附近出现分号错误

[英]verilog expecting a semicolon error near generate block

It's been years I've been working with verilog but recently I'm testing something with verilog. 我从事verilog已有多年了,但是最近我正在使用verilog进行测试。 During a ncvlog compile, I have an error for which I can't find the cause. 在ncvlog编译期间,出现一个我找不到原因的错误。 Below is the code(not complete yet). 以下是代码(尚未完成)。

`include "default.v"

module conv (
    input clr,
    input clk,
    input start_conv,
    output integer raddr,
    output integer waddr,
    input  real data_in,
    output real data_out
);

parameter NUM_CONV = `DEF_NUM_CONV;


genvar i;
generate
for (i=0; i<NUM_CONV; i=i+1) begin : uconv
unit_conv inst() (
    .clr (clr),
    .clk (clk),
    .start (start_conv),
    .rreq (rreq[i]),
    .raddr (raddr[i]),
    .rdata (rdata[i]),
    .wreq (wreq[i]),
    .waddr (waddr[i]),
    .wdata (wdata[i])
);

end
endgenerate

endmodule

The error I get is like below : 我得到的错误如下:

ckim@stph45:~/Neuro/convhw] ncvlog -sv conv.v
ncvlog: 12.20-s008: (c) Copyright 1995-2013 Cadence Design Systems, Inc.
unit_conv inst() (
                 |
ncvlog: *E,EXPSMC (conv.v,19|17): expecting a semicolon (';') [12.1.2][7.1(IEEE)].

Is the port mapping syntax for generated instances wrong? 生成实例的端口映射语法是否错误? According to this it seems correct though... By the way, I compile with ncvlog -SV conv.v . 因此, 似乎是正确的...顺便说一下,我使用ncvlog -SV conv.v编译。

Consider the following: 考虑以下:

unit_conv inst (
    .clr (clr),
    .clk (clk),
    .start (start_conv),
    .rreq (rreq[i]),
    .raddr (raddr[i]),
    .rdata (rdata[i]),
    .wreq (wreq[i]),
    .waddr (waddr[i]),
    .wdata (wdata[i])
);

暂无
暂无

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

相关问题 Verilog中“ generate”和“ endgenerate”附近的语法错误 - Syntax error near “generate” and “endgenerate” in verilog “默认”附近的 Verilog HDL 语法错误,期望“endmodule” - Verilog HDL syntax error near "default", expecting "endmodule" 在文本“或”附近的 practice.v(7) 中出现 Verilog HDL 语法错误; 期待“)” - Verilog HDL syntax error at practice.v(7) near text "or"; expecting ")" 文本“for”附近的Verilog HDL语法错误; 期待“endmodule” - Verilog HDL syntax error near text “for”; expecting “endmodule” 内部生成生成verilog +生成附近错误(veri-1137) - generate inside generate verilog + error near generate(veri - 1137) Verilog始终在generate块内抛出错误 - Verilog always inside a generate block is throwing error Verilog错误,需要说明 - Verilog error expecting a description 模型中的Verilog错误-接近“ =”:语法错误,意外的&#39;=&#39;,期望IDENTIFIER或TYPE_IDENTIFIER或NETTYPE_IDENTIFIER - Verilog error in modelsim- near “=”: syntax error, unexpected '=', expecting IDENTIFIER or TYPE_IDENTIFIER or NETTYPE_IDENTIFIER 如何修复错误 (10170):Verilog HDL 语法错误<filename>靠近文本“(”;期待“;”</filename> - How to fix Error (10170): Verilog HDL syntax error at <filename> near text “(”; expecting “;” 错误 (10170): CRC_configurable.v(62) 附近文本的 Verilog HDL 语法错误:“整数”; 期待“结束” - Error (10170): Verilog HDL syntax error at CRC_configurable.v(62) near text: "integer"; expecting "end"
 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM