简体   繁体   English

如何在Chisel3中生成线束

[英]How to generate harness in Chisel3

In Chisel2 there's seems to be a way to generate harness judging by this question . 在Chisel2中,似乎有一种方法可以根据这个问题判断线束。

It seems that the simulation mechanism is a bit different between Chisel2 and Chisel3. 看起来Chisel2和Chisel3之间的仿真机制有些不同。 Quoted from the Chisel3 wiki : 引自Chisel3 Wiki

Chisel2 was capable of directly generating a C++ simulation from the Chisel code, or a harness for use with a vcs simulation. Chisel2能够从Chisel代码直接生成C ++仿真,或者能够与vcs仿真一起使用的线束。 Chisel3 relies on verilator to generate the C++ simulation from the Verilog output of firrtl. Chisel3依靠验证器从firrtl的Verilog输出生成C ++仿真。 See the Chisel3 README for directions on installing verilator. 请参阅Chisel3自述文件,以获取有关安装验证器的说明。

My question is: Is there a way in Chisel3 to generate verilog harness, similar to Chisel2? 我的问题是:与Chisel2类似,Chisel3中是否有生成Verilog工具的方法?

I think you might take a look at src/main/scala/dsptools/tester/VerilogTbDump.scala in the dsptools project . 我认为您可以在dsptools项目中查看src / main / scala / dsptools / tester / VerilogTbDump.scala。 The tb stands for test-bench. tb代表测试台。 You may be able to find some clues on getting started. 您也许可以找到一些入门的线索。 Or hopefully someone else will come along with a better answer. 或者希望其他人会带来更好的答案。

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM