繁体   English   中英

VHDL触发器复位不同于0

[英]VHDL flip-flop reset different than 0

是否有可能将触发器矢量重置为不同于全0的值? 就像是:

PROCESS (clk)
    BEGIN
        IF RISING_EDGE(clk) THEN
            IF rst = '1' THEN
                ff <= INPUT_VALUE;
...

这无法在综合中幸存下来。 我只想在重置时将值设为ff,然后进行更改-它用作从输入中设置第一个值的计数器。

如何执行正常复位,然后使用负载信号将计数器设置为其他值呢? 对我而言,这将是标准方式。

以下是一个实际可行的有趣答案:

1)确定应初始化为该值的位模式。

2)对于应该为1的每一位,在触发器之前和之后在该行上放置一个非门。

现在,复位引脚之后的初始状态就是您想要的状态。

暂无
暂无

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM