繁体   English   中英

问题:在 FPGA (DE1-SOC) 上实现超声波传感器 (HC-SR04)

[英]Problem: implement an ultrasonic sensor (HC-SR04) on an FPGA (DE1-SOC)

我的目标是在我的 FPGA (DE1-SOC) 上实现超声波传感器 (HC-SR04),以便我的 LED 的值根据障碍物的距离而变化。

我正在使用 VHDL 开发 QUARTUS II。 我遇到的问题是当我上传到我的卡时没有 LED 亮起。

我的代码如下:

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity SENSOR is
    port (
        clk : in std_logic;
        rst : in std_logic;

        trig : out std_logic;
        echo : in  std_logic;

        LEDR : out std_logic_vector(9 downto 0)
    );
end entity SENSOR;

architecture rtl of SENSOR is
    signal tick_us : std_logic;
    signal tick_us_ctr : integer range 0 to 50;

    signal trig_ctr : integer range 0 to 60_010;
    
    signal echo_width_us : integer range 0 to 40_000;
    signal out_range : std_logic :='0'; -- verifie depassement 40 ms de echo_width_us 
begin
    gen_tick_us : process(clk, rst)
    begin
        if rst = '1' then
            tick_us_ctr <= 0;
            tick_us <= '0';
        elsif rising_edge(clk) then
            if tick_us_ctr >= 50-1 then
                tick_us <= '1';
                tick_us_ctr <= 0;
            else
                tick_us <= '0';
                tick_us_ctr <= tick_us_ctr + 1;
            end if;
        end if;
    end process;

    gen_trig : process(clk, rst)
    begin
        if rst = '1' then
            trig <= '0';
            trig_ctr <= 0;
        elsif rising_edge(clk) and tick_us = '1' then -- every 1 us
            if trig_ctr >= 60_010-1 then -- 60 ms + 10 us
                trig <= '0';
                trig_ctr <= 0;
            elsif trig_ctr = 60_000-1 then -- 60 ms
                trig <= '1';
                trig_ctr <= trig_ctr + 1;
            else
                trig_ctr <= trig_ctr + 1;
            end if;
        end if;
    end process;

    measure_width : process(clk, rst)
    begin
        if rst = '1' then
            echo_width_us <= 0;
            LEDR <= (others => '0');
        elsif rising_edge(clk) and tick_us = '1' then -- every 1 us
            if echo = '1' then
                if echo_width_us < 40_001 then
                    echo_width_us <= echo_width_us + 1;
                else
                    out_range <= '1';
                end if;
            elsif echo = '0' and echo_width_us > 0 then
                if out_range ='1' then 
                    echo_width_us <= 0;
                    out_range <= '0';
                    LEDR <= (others => '0');
                else
                    echo_width_us <= 0;
                    LEDR <= std_logic_vector(to_unsigned(echo_width_us / 58, 10));
                    --ledr <= (others => '0');
                    --ledr() >= '1';
                end if;
            end if;
        end if;
    end process;
end architecture;

我知道这个是有效的,因为我通过制作我的 TestBench 在 ModelSim 上测试了它:

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity SENSOR_TestBench is
end entity SENSOR_TestBench;

architecture rtl of SENSOR_TestBench is
    signal clk_50 : std_logic;
    signal rst    : std_logic;
    
    signal trig : std_logic;
    signal echo : std_logic;
    
    signal ledr : std_logic_vector(9 downto 0);
begin
    uut : entity work.SENSOR
        port map (
            clk => clk_50,
            rst => rst,
            
            trig => trig,
            echo => echo,
            
            ledr => ledr
        );
    
    clk_rst : process
    begin
        rst <= '1';
        clk_50 <= '0';
        wait for 10 ns;
        rst <= '0';
        wait for 10 ns;
        
        for i in 0 to 50 * 1000 * 1000 loop
            clk_50 <= '1';
            wait for 10 ns;
            
            clk_50 <= '0';
            wait for 10 ns;
        end loop;
    end process;
    
    process
    begin
        echo <= '0';
        wait for 100 ns;
        
        wait until trig = '1';
        wait for 10 us;
        echo <= '1';
        wait for 5 ms;
        echo <= '0';
        
        wait until trig = '1';
        wait for 10 us;
        echo <= '1';
        wait for 10 ms;
        echo <= '0';
        
        wait until trig = '1';
        wait for 10 us;
        echo <= '1';
        wait for 25 ms;
        echo <= '0';
        
        wait until trig = '1';
        wait for 10 us;
        echo <= '1';
        wait for 50 ms;
        echo <= '0';
        
    end process;
end architecture;

Simu 模型模拟

我也不认为这是 PIN 映射问题,我尊重卡的用户手册:

映射 PIN

我开始使用 QUARTUS II,所以我想我可能忘记了将我的代码上传到我的卡中的步骤:

程序员Quartus

我还在我的 Raspberry 上测试了我的超声波传感器,看它是否没有故障但工作正常:

在 Raspberry 上测试超声波传感器

我不知道该怎么办了,如果有人有想法,我会全力以赴:D

感谢您的回答!

如果您不确定使用 Quartus 做什么。 我建议您从一个简单的时钟分频器(即一个大计数器)开始,并将 MSB 分配给 output LED。 一旦看到 LED 切换,您就会知道您的实施步骤和编程步骤都是正确的。 之后,您可以 go 返回您的特定传感器模块。

暂无
暂无

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM