繁体   English   中英

如何约束非零值的字对齐地址?

[英]How to constrain a word aligned address which is non zero value?

我想在 Packet 类中限制我的地址,以便

  1. 地址为非 0
  2. addr 是字对齐的

addr 是 32 位解压缩的 32 位向量数组,它在 Packet 类中声明为

class Packet;
    
    rand bit [31:0] dest_addr;
    rand bit [31:0] source_addr;
    rand bit [7:0] data_length;
    rand byte data[];
    rand byte fcs;
    rand bit [31:0] addr [0:3];
    string name;
    rand bit [28:0] n;
    rand bit [3:0] test;
    static int count;
        
    rand da_kind_t da_kind;
    rand fcs_kind_t fcs_kind;
    rand length_kind_t length_kind;
  
   constraint c_n {
    n != 0;
  }
      
    //Word alignment constraint 
    constraint c_addr {
      foreach(addr[i]) 
        addr[i] == 4*n; 
    }

带有错误消息:

Driver_mem.sv(20): randomize() failed due to conflicts between the following constraints:
#   Packet.sv(29): c_n { (n != 0); }
# Where:
#   n = 29'h00000000 /* non-random */ 
# ** Note: (vsim-7130) Enabling enhanced debug (-solvefaildebug=2) may generate a more descriptive constraint contradiction report.
#    Time: 0 ps  Iteration: 2  Instance: /tb_router/test
# ** Note: (vsim-7106) Use vsim option '-solvefailtestcase[=filename]' to generate a simplified testcase that will reproduce the failure.
#    Time: 0 ps  Iteration: 2  Instance: /tb_router/test

但是约束解析器失败了。 我也试过这些方法,也都失败了。

constraint c_addr {
    foreach(addr[i])
        addr[i][31:2] != 0;
        addr[i][1:0] == 0;
}

这个方法也失败

constraint c_addr {
   addr[0] > 0;
   addr[1] > 0;
   addr[2] > 0;
   addr[3] > 0;
}

constraint c_addr1 {
   foreach(addr[i])
      addr[i][1:0] == 0;
}

带有输出消息:

Driver_mem.sv(20): randomize() failed due to conflicts between the following constraints:
#   Packet.sv(23): c_addr { (addr[0] > 0); }
#   Packet.sv(24): c_addr { (addr[1] > 0); }
#   Packet.sv(25): c_addr { (addr[2] > 0); }
#   Packet.sv(26): c_addr { (addr[3] > 0); }
#   Packet.sv(31): c_addr1 { (addr[3][1:0] == 0); }
#   Packet.sv(31): c_addr1 { (addr[2][1:0] == 0); }
#   Packet.sv(31): c_addr1 { (addr[1][1:0] == 0); }
#   Packet.sv(31): c_addr1 { (addr[0][1:0] == 0); }
#   Packet.sv(97): c_dest_addr { (dest_addr inside { addr[3], addr[2], addr[1], addr[0] }); }
# Where:
#   addr[0][1:0] = 2'h0
#   addr[1][1:0] = 2'h0
#   addr[2][1:0] = 2'h0
#   addr[3][1:0] = 2'h0
#   dest_addr = 0 /* non-random */ 
# Given:
#   bit [31:0] addr[3]
#   bit [31:0] addr[2]
#   bit [31:0] addr[1]
#   bit [31:0] addr[0]

这 4 种方法虽然不会失败,但输出为

# addr0: 0x00000000
# addr1: 0x00000000
# addr2: 0x00000000
# addr3: 0x00000000



//Word alignment constraint 
    constraint c_addr {
      foreach(addr[i]) {
            addr[i][31:2] != 0;
            addr[i][1:0] == 0;  
      }
    }

constraint c_addr0 {
      addr[0] == 4*(n+1);
      addr[1] == 4*(n+2);
      addr[2] == 4*(n+3);
      addr[3] == 4*(n+4);
}

constraint c_addr0 {
      addr[0] == 4*(n+1);
      addr[1] == addr[0] + 4;
      addr[2] == addr[1] + 4;
      addr[3] == addr[2] + 4;     
    }

constraint c_addr0 {
        foreach(addr[i])
          addr[i] == (i+4);
    }

数据包用于 1x4 路由器验证,要求目标端口的地址必须字对齐,同时非 0 值。 任何帮助表示赞赏。 另外,请注意,addr 只被随机化一次,即只有一组 4 个唯一的非 0 字对齐地址,如果我只提供字对齐约束,我总是在数组中得到一个 0x00000000 的 addr。

我想在 Packet 类中限制我的地址,以便

  1. 地址为非 0
  2. addr 是字对齐的

addr 是 32 位解压缩的 32 位向量数组,它在 Packet 类中声明为

class Packet;
    
    rand bit [31:0] dest_addr;
    rand bit [31:0] source_addr;
    rand bit [7:0] data_length;
    rand byte data[];
    rand byte fcs;
    rand bit [31:0] addr [0:3];
    string name;
    rand bit [28:0] n;
    rand bit [3:0] test;
    static int count;
        
    rand da_kind_t da_kind;
    rand fcs_kind_t fcs_kind;
    rand length_kind_t length_kind;
  
   constraint c_n {
    n != 0;
  }
      
    //Word alignment constraint 
    constraint c_addr {
      foreach(addr[i]) 
        addr[i] == 4*n; 
    }

带有错误消息:

Driver_mem.sv(20): randomize() failed due to conflicts between the following constraints:
#   Packet.sv(29): c_n { (n != 0); }
# Where:
#   n = 29'h00000000 /* non-random */ 
# ** Note: (vsim-7130) Enabling enhanced debug (-solvefaildebug=2) may generate a more descriptive constraint contradiction report.
#    Time: 0 ps  Iteration: 2  Instance: /tb_router/test
# ** Note: (vsim-7106) Use vsim option '-solvefailtestcase[=filename]' to generate a simplified testcase that will reproduce the failure.
#    Time: 0 ps  Iteration: 2  Instance: /tb_router/test

但是约束解析器失败了。 我也试过这些方法,也都失败了。

constraint c_addr {
    foreach(addr[i])
        addr[i][31:2] != 0;
        addr[i][1:0] == 0;
}

这个方法也失败

constraint c_addr {
   addr[0] > 0;
   addr[1] > 0;
   addr[2] > 0;
   addr[3] > 0;
}

constraint c_addr1 {
   foreach(addr[i])
      addr[i][1:0] == 0;
}

带有输出消息:

Driver_mem.sv(20): randomize() failed due to conflicts between the following constraints:
#   Packet.sv(23): c_addr { (addr[0] > 0); }
#   Packet.sv(24): c_addr { (addr[1] > 0); }
#   Packet.sv(25): c_addr { (addr[2] > 0); }
#   Packet.sv(26): c_addr { (addr[3] > 0); }
#   Packet.sv(31): c_addr1 { (addr[3][1:0] == 0); }
#   Packet.sv(31): c_addr1 { (addr[2][1:0] == 0); }
#   Packet.sv(31): c_addr1 { (addr[1][1:0] == 0); }
#   Packet.sv(31): c_addr1 { (addr[0][1:0] == 0); }
#   Packet.sv(97): c_dest_addr { (dest_addr inside { addr[3], addr[2], addr[1], addr[0] }); }
# Where:
#   addr[0][1:0] = 2'h0
#   addr[1][1:0] = 2'h0
#   addr[2][1:0] = 2'h0
#   addr[3][1:0] = 2'h0
#   dest_addr = 0 /* non-random */ 
# Given:
#   bit [31:0] addr[3]
#   bit [31:0] addr[2]
#   bit [31:0] addr[1]
#   bit [31:0] addr[0]

这 4 种方法虽然没有失败,但输出为

# addr0: 0x00000000
# addr1: 0x00000000
# addr2: 0x00000000
# addr3: 0x00000000



//Word alignment constraint 
    constraint c_addr {
      foreach(addr[i]) {
            addr[i][31:2] != 0;
            addr[i][1:0] == 0;  
      }
    }

constraint c_addr0 {
      addr[0] == 4*(n+1);
      addr[1] == 4*(n+2);
      addr[2] == 4*(n+3);
      addr[3] == 4*(n+4);
}

constraint c_addr0 {
      addr[0] == 4*(n+1);
      addr[1] == addr[0] + 4;
      addr[2] == addr[1] + 4;
      addr[3] == addr[2] + 4;     
    }

constraint c_addr0 {
        foreach(addr[i])
          addr[i] == (i+4);
    }

数据包用于 1x4 路由器验证,要求目标端口的地址必须字对齐,同时非 0 值。 任何帮助表示赞赏。 另外,请注意 addr 只随机化一次,即只有一组 4 个唯一的非 0 字对齐地址,如果我只提供字对齐约束,我总是在数组中得到一个 0x00000000 的 addr。

字对齐意味着 2 LSB = 0 ,对。 为什么这么难? 我是不是在这里遗漏了什么,是不是就这么简单

constraint
(addr[1:0] == 0)
(addr[31:2] !=0)

暂无
暂无

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM