簡體   English   中英

將內部信號連接到MyHDL模塊中的輸出端口

[英]Connect internal signal to output port in MyHDL module

考慮以下示例(一個簡單的8位計數器),是否有更簡單的方法將內部s_count信號連接到o_count端口?

def counter(i_clk, i_reset, o_count):

    """ A free-running 8-bit counter with a synchronous reset """

    s_count = Signal(intbv(0)[8:])

    @always(i_clk.posedge)
    def count():
        if i_reset == 1:
            s_count.next = 0
        else:        
            s_count.next = s_count + 1

    @always_comb
    def outputs():
        o_count.next = s_count        

    return count, outputs

當然,我可以直接在count函數中增加o_count ,但這會轉換為生成的VHDL模塊中的inout端口,我不需要。

我懷疑直接增加o_count是可以接受的解決方案。

實際上,它轉換為輸入,因為您無法讀取VHDL中的輸出端口。

但是,僅當將此模塊轉換為頂部模塊時,才會發生這種情況。 但是,這可能是一個小的子模塊。 在這種情況下,層次結構被展平,而o_count將是一個內部信號。

暫無
暫無

聲明:本站的技術帖子網頁,遵循CC BY-SA 4.0協議,如果您需要轉載,請注明本站網址或者原文地址。任何問題請咨詢:yoyou2525@163.com.

 
粵ICP備18138465號  © 2020-2024 STACKOOM.COM