簡體   English   中英

Verilog中的SystemVerilog typedef等效項

[英]SystemVerilog typedef equivalent in Verilog

我可以在Verilog中使用與SystemVerilog的typedef相等的任何構造嗎?

我知道在SV中,我可以為類型定義創建自己的名稱,並在構建復雜的數組定義時使用它。 我知道在Verilog標准(即Verilog-1995)中不存在typedef 但是有可能繞開它嗎?

今天,幾乎所有支持Verilog的當前工具也都支持SystemVerilog中的typedef構造。 我將花費您的時間弄清楚如何遷移到SystemVerilog,而不是嘗試解決Verilog中缺少它的問題。 您在Verilog中可以使用的最接近的東西是`define語句。

SystemVerilog具有用戶定義的數據類型,而Verilog沒有。

暫無
暫無

聲明:本站的技術帖子網頁,遵循CC BY-SA 4.0協議,如果您需要轉載,請注明本站網址或者原文地址。任何問題請咨詢:yoyou2525@163.com.

 
粵ICP備18138465號  © 2020-2024 STACKOOM.COM